hdlbits_Exams/2012_q2fsm

https://hdlbits.01xz.net/wiki/Exams/2012_q2fsm

module top_module (
    input clk,
    input reset,   // Synchronous active-high reset
    input w,
    output z
);
	parameter A=0,B=1,C=2,D=3,E=4,F=5;
    reg [2:0]state,next;
    always @(*)
        begin
            case(state)
                A:next = w?B:A;
                B:next = w?C:D;
                C:next = w?E:D;
                D:next = w?F:A;
                E:next = w?E:D;
                F:next = w?C:D;
            endcase
        end
    
    always @(posedge clk)
        begin
            if (reset)
                state<= A;
            else
                state <= next;
        end
    
    assign z = (state==E)|(state==F);
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值