`define、parameter、localparam三者的区别

对于这三个东东,各有用处,仅做点小笔记。

`define

可以跨模块的定义,写在模块名称上面,在整个设计工程都有效。一旦‘define指令被编译,其在整个编译过程中都有效。例如,通过另一个文件中的`define指令,定义的常量可以被其他文件中被调用。直到遇到 ‘undef

`define data 8’d14

使用时则为

`data

parameter

module内有效的定义,可用于参数传递;

在模块内部定义时无法进行参数传递,

若在模块名后照下面这样写则可以进行传递

module rxtx
#(parameter baud = 9600,
            mhz = 25
  )
 (
   clk,
   rst,
   rx

   );

调用此模块的时候可以像端口信号传递一样进行参数传递

 rxtx
#( .baud ( 9600 ),
   .mhz  ( 50   )
 )
u_uart (
 .clk(clk_50m),
 .rst(~HRST_N),
 .rx(rx

 );

localparam

module内有效的定义,不可用于参数传递;localparamcannot be used within the module port parameter list.

一般情况下,状态机的参数都是用localparam的。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值