一、Verilog语法:parameter、localparam、`define的区别

   

`define:作用:常用于定义常量,可以跨模块、跨文件。

                    范围 :在整个工程内可以使用。

    parameter:     作用 :常用于模块间参数传递

                               范围:本module内有效的定义。

    localparam:   指 local parameter(本地参数定义)。

                               作用 :常用于状态机的参数定义

                               范围:本module内有效的定义,不可用于参数传递。

 parameter可用作在顶层模块中例化底层模块时传递参数的接口,而状态机的参数通常用localparam来声明。

例如以下程序,在fsm这个模块中,用parameter声明了 T200ms 和 T50ms 两个量,对应的值分别为20000 和 5000,用localparam声明了 s0 ~s8 这 8 个量。

module fsm(clk, rst_n, en, led);
	
	input clk, rst_n, en;
	output reg [3:0] led;
	
	reg [31:0] cnt;
	reg [3:0] state;

	parameter T200ms = 20000;
	parameter T50ms = 5000;
	
	localparam s0 = 4'd0;
	localparam s1 = 4'd1;
	localparam s2 = 4'd2;
	localparam s3 = 4'd3;
	localparam s4 = 4'd4;
	localparam s5 = 4'd5;
	localparam s6 = 4'd6;
	localparam s7 = 4'd7;
	localparam s8 = 4'd8;

/**********************************
        其余程序暂且忽略
**********************************/
endmodule

 用顶层模块调用fsm这个module的时候,由于使用了parameter声明的 T200ms 和 T50ms 这两个变量,可以将这两个值进行更改。我将其改为了 2000 和 500。

module led_run(start_n, stop_n, clk, rst_n, led);
	
	input start_n;
	input stop_n;
	input clk;
	input rst_n;
	output [3:0] led;
	
	wire en;

//trigger 模块可忽略不看
	trigger TR(
		.start_n(start_n), 
		.stop_n(stop_n), 
		.rst_n(rst_n), 
		.en(en)
	);
	
//只关注被调用的 fsm 模块即可
	fsm #(.T200ms(2000),.T50ms(500)) FSM(
		.clk(clk), 
		.rst_n(rst_n), 
		.en(en), 
		.led(led)
	);
	
endmodule

 

  • 8
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,defineparameter都是用来定义常量的关键字,但它们有不同的应用场景和作用。 1. defineVerilog中,define用于定义预处理器宏,它可以将一段代码中所有出现该宏的地方替换为指定的文本。define通常用于定义一些简单的常量或者简化代码的书写。define语法如下: ``` `define <macro_name> <macro_value> ``` 其中,`<macro_name>`是宏的名称,`<macro_value>`是宏的值。例如: ``` `define WIDTH 16 ``` 这个宏定义了一个名为WIDTH的常量,值为16。在代码中,我们可以用`WIDTH`来代替16。 2. parameter parameter用于在模块内部定义常量,它可以在模块实例化时被修改。parameter通常用于定义一些需要在多个模块中使用的常量。parameter语法如下: ``` parameter <parameter_name> = <parameter_value>; ``` 其中,`<parameter_name>`是参数的名称,`<parameter_value>`是参数的值。例如: ``` parameter WIDTH = 16; ``` 这个参数定义了一个名为WIDTH的常量,初始值为16。在模块内部,我们可以使用`WIDTH`来访问这个常量。在实例化该模块时,也可以通过修改WIDTH的值来改变模块的行为。 总的来说,defineparameter都是用于定义常量的关键字,但它们的作用和应用场景不同。define用于在代码中定义简单的常量或简化代码的书写,而parameter用于在模块内部定义常量,在模块实例化时可以被修改。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值