Verilog HDL--VGA显示

module vga(
            clk,rst_n,
            hsync,vsync,
            vga_r,vga_g,vga_b
        );

input clk;        //50MHz
input rst_n;    //低电平复位
output hsync;    //行同步信号
output vsync;    //场同步信号
output vga_r;
output vga_g;
output vga_b;

//--------------------------------------------------
reg[10:0] x_cnt;    //行坐标
reg[9:0] y_cnt;    //列坐标

always @ (posedge clk or negedge rst_n)
    if(!rst_n) x_cnt <= 11'd0;
    else if(x_cnt == 11'd1039) x_cnt <= 11'd0;
    else x_cnt <= x_cnt+1'b1;

always @ (posedge clk or negedge rst_n)
    if(!rst_n) y_cnt <= 10'd0;
    else if(y_cnt == 10'd665) y_cnt <= 10'd0;
    else if(x_cnt == 11'd1039) y_cnt <= y_cnt+1'b1;

//--------------------------------------------------
wire valid;    //有效显示区标志

assign valid = (x_cnt >= 11'd187) && (x_cnt < 11'd987) 
                    && (y_cnt >= 10'd31) && (y_cnt < 10'd631); 

wire[9:0] xpos,ypos;    //有效显示区坐标

assign xpos = x_cnt-11'd187;
assign ypos = y_cnt-10'd31;

//--------------------------------------------------
reg hsync_r,vsync_r;    //同步信号产生

always @ (posedge clk or negedge rst_n)
    if(!rst_n) hsync_r <= 1'b1;
    else if(x_cnt == 11'd0) hsync_r <= 1'b0;    //产生hsync信号
    else if(x_cnt == 11'd120) hsync_r <= 1'b1;
 
always @ (posedge clk or negedge rst_n)
    if(!rst_n) vsync_r <= 1'b1;
    else if(y_cnt == 10'd0) vsync_r <= 1'b0;    //产生vsync信号
    else if(y_cnt == 10'd6) vsync_r <= 1'b1;

assign hsync = hsync_r;
assign vsync = vsync_r;

//--------------------------------------------------
    //显示一个矩形框
wire a_dis,b_dis,c_dis,d_dis;    //矩形框显示区域定位

assign a_dis = ( (xpos>=200) && (xpos<=220) ) 
                &&    ( (ypos>=140) && (ypos<=460) );
                
assign b_dis = ( (xpos>=580) && (xpos<=600) )
                && ( (ypos>=140) && (ypos<=460) );

assign c_dis = ( (xpos>=220) && (xpos<=580) ) 
                &&    ( (ypos>140)  && (ypos<=160) );
                
assign d_dis = ( (xpos>=220) && (xpos<=580) )
                && ( (ypos>=440) && (ypos<=460) );

    //显示一个小矩形
wire e_rdy;    //矩形的显示有效矩形区域

assign e_rdy = ( (xpos>=385) && (xpos<=415) )
                &&    ( (ypos>=285) && (ypos<=315) );

//-------------------------------------------------- 
    //r,g,b控制液晶屏颜色显示,背景显示蓝色,矩形框显示红蓝色
assign vga_r = valid ? e_rdy : 1'b0;
assign vga_g = valid ?  (a_dis | b_dis | c_dis | d_dis) : 1'b0;
assign vga_b = valid ? ~(a_dis | b_dis | c_dis | d_dis) : 1'b0;      

endmodule

 

转载于:https://www.cnblogs.com/Mungbohne/p/4398246.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA控制VGA接口显示屏8种色彩的循环变化实验Verilog逻辑源码Quartus11.0工程文件, FPGA型号为CYCLONE4E系列中的EP4CE6E22C8,可以做为你的学习设计参考。 module VGA(clk,rst_n,hsync,vsync,vga_r,vga_g,vga_b,coldata); input clk; //系统时钟50MHz input rst_n; //低电平复位 input[8:0] coldata; //需要显示的色彩,使用者可以自己在color.v中改变数值以获取需要的色彩,该例程实现的是8种色彩的循环变化 output hsync; //行同步信号 output vsync; //场同步信号 output[2:0] vga_r; //红基色信号 output[2:0] vga_g; //绿基色信号 output[2:0] vga_b; //蓝基色信号 reg[2:0] vga_r,vga_g,vga_b; reg[10:0] x_cnt; //行坐标 reg[9:0] y_cnt; //列坐标 //-------------------------------------------------- always @ (posedge clk or negedge rst_n) begin if(!rst_n) x_cnt <= 11'd0; else if(x_cnt == 11'd1039) x_cnt <= 11'd0; else x_cnt <= x_cnt+1'b1; end always @ (posedge clk or negedge rst_n) begin if(!rst_n) y_cnt <= 10'd0; else if(y_cnt == 10'd665) y_cnt <= 10'd0; else if(x_cnt == 11'd1039) y_cnt = 11'd187) && (x_cnt = 10'd31) && (y_cnt < 10'd631); wire[9:0] xpos,ypos; //有效显示区坐标 assign xpos = x_cnt-11'd187; assign ypos = y_cnt-10'd31; //-------------------------------------------------- reg hsync_r,vsync_r; //同步信号产生 always @ (posedge clk or negedge rst_n) begin if(!rst_n) hsync_r <= 1'b1; else if(x_cnt == 11'd0) hsync_r <= 1'b0; //产生hsync信号 else if(x_cnt == 11'd120) hsync_r <= 1'b1; end always @ (posedge clk or negedge rst_n) begin if(!rst_n) vsync_r <= 1'b1; else if(y_cnt == 10'd0) vsync_r <= 1'b0; //产生vsync信号 else if(y_cnt == 10'd6) vsync_r =80) && (xpos=60) && (ypos<=540) ); //-------------------------------------------------- //分别对RGB的3位数据进行判断 //R,G,B控制液晶屏颜色显示 //最终显示显示的颜色是RGB3种颜色的叠加

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值