delta-sigma电路如何工作?

Δ-Σ模数转换器(ADCs)利用过采样技术在高分辨率下转换模拟信号。核心组件Δ-Σ调制器将模拟输入转化为高速1位流,再通过数字/抽取滤波器转化为低速高分辨率数字输出。调制器的噪声整形功能使其适用于低频高精度测量。二阶及以上调制器能进一步降低带内量化噪声,提高转换质量。
摘要由CSDN通过智能技术生成

delta-sigma电路如何工作?

1 前言

多年来,模拟技术一直主导着信号处理,但数字技术正在慢慢侵入这个领域。 Δ-Σ(DS) 模数转换器 (ADCs)的设计大约是四分之三数字和四分之一模拟。 Δ-Σ ADCs 现在非常适合转换各种频率的模拟信号,从DC到几兆赫兹。 基本上,这些转换器由一个过采样调制器和一个数字/抽取滤波器组成,它们共同产生高分辨率的数据流输出。

2 Δ-Σ转换概述

基本的Δ-Σ转换器是一个1-bit采样系统。 施加到转换器输入端的模拟信号需要相对较慢,以便转换器可以对其进行多次采样,这种技术称为过采样(Oversampling)。 采样率比输出端口的数字结果快数百倍。 每个单独的样本随时间累积,并通过数字/抽取滤波器与其他输入信号样本“平均”。
在这里插入图片描述

图1 Δ-Σ架构图
Δ-Σ转换器的主要内部单元是Δ-Σ调制器和数字/抽取滤波器。 图 1 所示的内部Δ-Σ调制器以非常高的速率将输入信号粗采样为 1 位流。 然后数字/抽取滤波器获取此采样数据并将其转换为高分辨率、速度较慢的数字代码。 大多数转换器只有一种采样率,而Δ-Σ转换器有两种采样率——输入采样率 (fS) 和输出数据率(fD).</

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

LEEE@FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值