UVM是怎么跑起来的?

流程图

UVM是怎么跑起来的

具体流程

  1. VCS编译时会会构造一个module包含树,选出树根即top module
  2. 执行top module,及下面各个module中的initial 进程块语句
  3. 某个initial begin…end块语句中调用run_test() 启动UVM
  4. 根据UVM_TESTNAME创建对应的test,如这里创建test1对象
  5. test1也是一个树形结构,需要层次化,递归的创建,test1由很多component组成
  6. 执行test1树中所有component的各个phase
  7. 依次执行各个phase, 在sequencer 的main phase中调用对应sequence中的body函数
  8. 所有phase执行完成后,结束仿真。
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值