verilog有符号数的计算

本文总结了有符号数在Verilog中的计算,通过四个实验展示了有符号数与无符号数在加法和乘法运算中的不同结果。实验表明,将所有数视为有符号数处理能避免错误。
摘要由CSDN通过智能技术生成
看了许多关于有符号数计算的文章,这里做一下总结。
首先看看有符号数是如何存储的,比如我们定义一个位宽为8位的 a=-10,通过仿真软件可以看到它的表示为1111 0110,最高位是1代表有符号数,大小是它的反码加1。接下来我们会分别做一下四个实验:有符号数与无符号数的乘加计算和全是有符号数的乘加计算。
1.有符号数+无符号数
module mult(
input [7:0] a ,
input signed [7:0] b,
output signed [15:0]c
     );
 assign c = a + b;
endmodule
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值