verilog 有符号数运算

1)之前的笔记写过《补码探讨》,可知在FPGA综合成电路的时候最底层都是以补码的形式在运算,正数的补码就是本身,负数的补码要取反+1。

(2)那么Verilog中编程的时候对编程人员来说,其实想不到现在的编译器(Quartus II 9.1和ISE10.1没有问题,更高的版本应该更加可以了)都支持verilog有符号运算的综合了。在定义时直接加上signed即可,如下:

   input  signed [7:0] a, b;
   output  signed [15:0] c;
   wire signed [15:0] x;

   reg signed [15:0]y;

  很明显,这种采用signed定义的情况,可以避免手动转换带来的麻烦,同时可以节省很多开发时间

(3)当使用移位运算“>>”进行1/2倍运算时,需注意:

verilog中最简单的加减乘除运算对于有符号数和无符号数其实是有很大区别的,现总结几点如下: 
例子:对输入a,b取平均值,然后赋值给c输出
     always @(posedge clk)
        c<=(a+b)>>1;
 
1.a,b均为无符号数,结果正确
 
2.a,b中一个为有符号数(a),另一个为无符号数(b),编译器会自动将无符号数(b)转换成有符号数,这样就成了2个有符号数之间的运算了,结果是个有符号数,此时
1>如果a+b结果为正数(最高位为0),那么结果正确
2>如果a+b结果为负数(最高位为1),那么结果错误,因为移位运算新移入的位将用0来填补,此时负数将变为正数,显然错误。
 
所以综上,在进行有符号数运算的时候,最好像如下这样写:
reg signed [3:0] a;
reg signed [3:0] b;
reg signed [3:0] c;
 always @(posedge clk)
c<=(a+b)/2;
这样就可以避免不必要的错误
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,有符号运算需要通过使用`signed`关键字或`$signed()`函来表示。有符号的计算需要将操作声明为有符号类型,并且使用特定的运算符进行操作。 如果在Verilog叙述中有需要进行有符号的计算,可以使用Verilog 2001提供的`signed`关键字或`$signed()`函来标识有符号。通过使用这些机制,可以确保有符号的正确计算结果。 需要注意的是,只有两个操作都是有符号时,才会将这两个操作都视为有符号进行计算。如果只有一个操作是有符号,那么无论另一个操作是有符号还是无符号,都会按照无符号计算。 举个例子,假设有以下Verilog代码: ``` wire [3:0] a=4'b1001; wire signed [3:0] b=4'b1110; wire signed [4:0] c; assign c = ((a + b)>>>1); //-9=10111 ``` 在上述代码中,通过将变量`b`声明为有符号类型,可以确保与变量`a`的计算结果是按照有符号进行计算的。在这种情况下,计算结果将是-9。 综上所述,Verilog中有符号运算需要使用`signed`关键字或`$signed()`函来标识有符号,并确保所有操作都是有符号才能正确进行有符号的计算。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [verilog中的有符号运算(转)](https://blog.csdn.net/feixiaku/article/details/8646674)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [Verilog符号与无符号运算](https://blog.csdn.net/u014485485/article/details/79962316)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值