学习ZYNQ之FPGA11(呼吸灯)

本次实验为呼吸灯实验,实际上是调节占空比程序的编写,通过占空比的变化使led灯有亮到灭,由灭到亮。输入信号为时钟信号和复位信号,输出信号为led信号。占空比变化原理如下图:

实验中用到的led灯为核心板上的led灯,管脚号为 J16。

module breath_led(
    input clk,
    input rst_n,

    ouput led
);
reg [15:0] period_cnt;
reg [15:0] duty_cycle;
reg        inc_dec_flag; // 规定0为递加,1为递减

assign led = (period_cnt >= duty_cycle)? 1'b1 : 1'b0;

always @ (posedge clk or negedge rst_n) begin
    if(!rst)
        period_cnt <= 16'd0;
    else
        if(period_cnt == 16'd50000)
            period_cnt <= 16'd0;
        else
            period_cnt <= period_cnt + 1'b1;
end
always @ (posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        duty_cycle <= 16'd0;
        inc_dec_flag <= 1'b0;
    end
    else begin
        if(period_cnt == 16'd50000) begin
            if(inc_dec_flag == 1'b0) begin
                if(duty_cycle == 16'd50000)
                    inc_dec_flag <= 1'b1;
                else
                    duty_cycle <= duty_cycle + 16'd25;
            end
            else begin
                 if(duty_cycle == 16'd0)
                     inc_dec_flag <= 1'b0;
                 else
                     duty_cycle <= duty_cycle - 16'd25;
                    
            end  
        end    
    end
end
endmodule

程序中 inc_dec_flag 可以规定 0为递增,1为递减;反之也可以。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值