VivadoAndTcl: read_checkpoint and open_checkpoint

read_checkpoint:

该命令用于简单读取dcp文件,并不会打开设计或者in-memory工程,如果需要打开设计,则需要使用命令link_design,举例如下:

read_checkpoint C:/Data/checkpoint.dcp
link_design -name Test1

如果在一个vivado tool中打开了多个dcp文件,则需要使用current_project命令来切换设计。

current_project project2

可以通过get_projects来查看当前已经打开的projects。

get_projects

open_checkpoint:

打开一个dcp文件,并创建一个新的in-memory工程并立即用dcp文件内容对其进行初始化。

open_checkpoint C:/Data/state1/checkpoint.dcp -part xc7k325tffg900-2

  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值