FPGA开发之FPGA开发流程简介

      我以前一直很疑惑芯片设计这一块在实际中是怎么做的呢?今天了解了一下,现在设计芯片一般采用FPGA开发,大概流程如下:

1、功能定义/器件选型:设计芯片之前当然你要知道你设计的芯片有什么功能,然后就模块化,写清楚谁谁谁干什么,这当然就是从上至下的方法,你试试从下到上给我看看。

2、设计输入:这一步就是说我把模块分好了,然后我做什么呢?码代码,如果看代码不爽的话你画图吧!不过现在都用verilog或者VHDL来做一些大型芯片啊!

3、功能仿真:这个就是软件仿真,就是看波形啊!还是码代码,就是码完了看波形,一般用的仿真软件有modelsim和vcs。

4、综合优化:就是把你写的那一堆verilog用与门,或门,RAm这些玩意组成一个逻辑网表。常用的工具有synplify Pro。怎么感觉这一步应该是第三步,因为用ISE的时候都是要先综合再软件仿真,没有综合出一个芯片怎么仿真呢?不能理解了,这个过程是某个大牛写的,所以还是按他的顺序写了。

5、综合后仿真:这时候又仿真,原来这里是加了延时的软件仿真,那么我就大概明白了我平时做的过程中没有第三步,第三步用来做什么呢?这一步就一般看时序之类的,看波形图发现

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值