FPGA之FIFO设计(一)

         在一个FPGA系统中,如果有多个时钟,那么数据间的同步就是一个很大的问题。为了解决这一个问题,我们就可以使用FIFO。FIFO就可以缓存不同系统之间的数据。

         FIFO可以分成同步FIFO和异步FIFO。同步FIFO就是指读和写是同一个时钟的,异步的就不是一个时钟周期的。FIFO就可以应用于不是同一个时钟域内但是数据吞吐量不同。异步FIFO就是时钟不同,相比之下其实就是同步FIFO只有一个时钟,异步时钟有两个时钟。

更详细的图为:

FIFO的空满逻辑逻辑的检测:难点在于异步时钟域的信号要准确同步到本地时钟而不产生毛刺,以及亚稳态问题。

为了消除亚稳态,可以对异步时钟信号进行连续两次的采样,那么亚稳态就会降到接近于0。

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值