自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(49)
  • 收藏
  • 关注

原创 CAN总线终端电阻介绍

1、提高抗干扰能力2、快速从“显性”转到“隐性”3、防数据在线端被反射CAN总线有“显性”和“隐性”两种状态,“显性”为“0”,“隐性”为“1”。总线显性时,收发器内部Q1、Q2导通,CAN高、CAN低之间产生两伏压差;隐性时,Q1、Q2截止,CAN高、CAN低之间压差为0。总线若无负载,隐性时差分电阻阻值很大,内部的MOS管属于高阻态,外部的干扰只需要极小的能量即可令总线进入显性。这个时候如果有差模干扰过来,总线上就会有明显的波动,而这些波动能量没有地方能够吸收,就会在总线上创造一个显性位出来。所以,为消

2024-01-18 09:30:00 470

原创 INCA使用教程

5.在项目中添加dbc,主要用于解析数据,然后再点击配置硬件,进入配置界面,再右键插入,选择CAN-Monitor,选取刚刚添加的dbc。6.以上,基本的工程已经准备好了,可以连接硬件,进行标定了。7.开始标定,进入实验环境界面,然后添加自己所需标定或者观测的变量即可,再切换一下WP和RP,来实现修改标定值。4.在工作区中添加项目,选择刚刚加入的a2l文件,然后自动跳出一个添加设备的对话框,选择对应的型号。加载完成A2L和S19后,在界面右侧显示的是刚读取的s19文件,而左侧是A2L文件。

2024-01-16 09:15:00 704

原创 CAN工具 - ValueCAN3 - 基础介绍

这里大家看到的是一个ValueCAN3,实际上现在最新的是4了,不知道5有没有出来,不过使用方法都是一样的,这个ValueCAN3算是比较经典的一款,因此拿这个来介绍,下面是它的图片的引脚图,大家在接线的时候可以参考,这点我感觉他是比CANoe做的人性化很多,不需要再去查参考文档,一眼就能看到。软件安装和驱动安装都是一路next即可,如果中间出现安装错误的情况,需要彻底清除已安装的VSpy3安装痕迹,如果需要详细的步骤,可以私信我,我给你发(内容较多无法全部放在这里)。点击圆框按钮,实现软硬件的联通。

2024-01-15 12:07:51 1090

原创 INCA基础操作:文件刷写

INCA可以使用多种硬件,在使用INCA之前,需要确认所使用硬件驱动是否已成功安装,在INCA安装时,一般会先安装HSP Update Tool,用于对应硬件驱动的安装。使用INCA,除了进行标定,常见的另一个用途就是刷写文件(eg:App或者标定文件)。(3)如果硬件已经连接,则会自动搜索到目标硬件,本文自动查找到的硬件为ES581,如下所示。(1)驱动程序->ES581->选择对应的硬件型号(本文:ES581...)右击SSM_Pro->添加->工作区(W)并命名(本文:Workspace)

2024-01-13 11:45:00 1097

原创 CAN FD网络中每秒最多可以发送多少帧报文?

CAN FD协议是由Bosch以及行业专家预研开发的,并于2012年发布。通过标准化对其进行了改进,现已纳入ISO 11898-1:2015。一开始的Bosch CAN FD版本(非ISO CAN FD)与ISO CAN FD是不兼容。1、增加了数据的长度CAN FD每个数据帧最多支持64个数据字节,而传统CAN最多支持8个数据字节。这减少了协议开销,并提高了协议效率。2、增加传输的速度。

2024-01-13 09:15:00 890

原创 汽车标定工具--INCA使用方法

汽车这种各具差异的风格,我们可以把这个脾气称为驾驶性,驾驶性会影响着车辆的定位,是来做商务接待,还是跑货拉拉,或是一眼就是改装车气质,就看车辆的脾气了。这个驾驶性,其实简单点说,就是汽车设置的一些关于动力的参数,让车辆有如此性能的表现。INCA是属于ETAS旗下的一款基础产品,能够与其他试验平台,HIL系统等实现接口交互,具备全面的测试和标定功能,支持CCP或XCP等协议,可对标定数据进行管理,可用于数据采集、标定、ECU闪存编程ProF集成,可用于带图形策略数据显示示波器,界面自编程等功能,实在很强大。

2024-01-12 11:00:04 2073

原创 CAN/CAN FD采样点及其测试简介

采样点是CAN控制器读取总线电平,并解释各个比特的逻辑值的时间点。在我们了解采样点的测试方法之前,我们需要先了解CAN报文的一个位时间是如何组成的。CAN控制器的最小时间周期称作时间份额(Time quantum,简称Tq),它是通过对芯片晶振周期进行分频而得来的。一个位时间由若干个Tq组成(通常为8到25个),同时根据功能分为4个阶段:同步段、传播段、相位缓冲段1和相位缓冲段2。同步段(Sync_Seg):用于实现时序调整,总线上各个节点的跳变沿产生在同步段内,通常为1个Tq;

2024-01-12 09:15:00 965

原创 CAN和CAN FD组网思考

如果CAN的网络拓扑中,增加了CAN FD节点,需要Classic CAN节点设置CAN ID的过滤功能,否则,Classic CAN节点会将CAN FD报文数据段按照500Kbps速率采样,进而可能造成数据采样错误,发送错误帧,影响总线通信。:由于Classic CAN的FDF位为显性(0),CAN FD报文的FDF位为隐性(1),因此,在CAN仲裁过程中,当CAN和CAN FD的ID相同时,根据"线与"规则,CAN报文优先抢占总线使用权。CAN通信质量的好/坏,需要合理的采样点保证。

2024-01-12 09:00:00 894

原创 CAN与CAN FD通信之间存在的问题

目录1、通讯速率和数据长度不同的问题2、非ISO CAN FD与ISO CAN FD设备的通讯问题3、多设备切换的通讯问题4、测试平台共用的问题对汽车电子技术感兴趣的小伙伴请因为受制于产品的稳定性考验,改造成本等问题,没法快速全面普及CAN FD。另外,在2012年底提出CAN FD到2015年中成为ISO CAN FD。也就是说目前市场上大部分都还是在用传统的CAN2.0,有一小部分用非ISO标准的CAN FD,一部分用ISO标准的CAN FD。

2024-01-11 11:47:14 953

原创 CAN-FD总线协议深度解析-简单易懂协议详解

从图中可以看到,CAN FD协议可以区分CAN FD及CAN协议,CAN FD协议将协议数据分析为 ID(协议id号), ExternId(扩展id), DLC(数据长度),Data(传输数据), StuffCount,CRC, ACK/NAK。随着数据场的扩大,为了保证信息发送的质量,CAN FD的CRC计算不仅要包括数据段的位,还包括来自SOF的Stuff Count和填充位。不同的是,在CAN中,应答场的长度是1位,但在CAN FD,接收节点利用2位时间将其识别为有效应答。

2024-01-11 11:38:19 1238

原创 CAN FD和传统CAN的组网技术

由于历史条件的限制,并不是所有汽车厂商的ECU都能全部切换到CAN FD,特别是国内厂商,CAN FD技术还不成熟,因此CAN FD节点和传统CAN节点同时存在的状态会持续相当长的时间,本文介绍的混合组网技术也为当前的CAN网络提供了一个思路。在这样的网络中,传统CAN节点将CAN FD报文丢弃,不会把它识别为错误帧,但是也无法解析CAN FD报文,因此这样的网络可以正常通信,但是CAN FD报文只能在支持CAN FD的节点间进行数据通信,具有一定的局限性。

2024-01-09 16:06:42 465

原创 LIN总线故障检测

以空调控制面板与显示屏中间的LIN通讯为例,由于LIN是主从协议总线,从控制单元的所有动作都要接受主模块的控制,当主控单元控制面板与从控单元显示屏的LIN总线断开时,显示屏的显示永远停在断开时的状态,不会变化,直到车辆断电后信息才丢失。在测量LIN总线时要注意,当LIN总线在不传输数据时,电压为直流平均电压(也称为LIN的休止电压,不同车型电压值有所差异,一般在12V左右),用万用表检测电压值不变;当LIN总线上有传输信号时,电压信号会有微小的变化,用万用表可以检测到电压的变化。4.雨刷停止,如下图所示。

2024-01-09 15:43:00 452

原创 Lin总线基础:为什么Master节点需要外接上拉电阻

如上图,可以看出,在LIN端口的内部有一个30KΩ电阻(responder termination resistor),当收发器进入Standby Mode时,responder termination resistor打开,这个电阻相对较大,降低了总线的驱动能力。1通路和2通路的电压一样,均来自Vbat(eg:12V,Standby Mode下,Vbat= VINH= VLin),由于2通路上的电阻更小,所以,在1KΩ电路上的电流更强,进而达到增强LIN总线驱动能力的目的。

2024-01-09 14:46:24 559

原创 LIN总线学习笔记(1)-总线传输规范

接触LIN是从最近负责项目中开始的。项目已经快要量产了,因为中间遇到的大大小小的问题,都与LIN传输规范有关系,所以特地花时间找了点资料看了看。B站上有好多视频蛮不错的,看完收益匪浅。这篇笔记主要总结了对于LIN总线传输规范的初步认识,具体从以下几个方面展开讨论;接触过CAN的应该知道,LIN的产生是为了降低车载总线技术成本,LIN总线的传输速率远小于CAN,仅为20Kbps。但是LIN网络在汽车中一般不单独存在,要与上层网络相连(如CAN)。

2024-01-08 11:07:45 1059

原创 LIN总线与CAN总线的传输方式有什么不同?

帧包括帧头和应答两个部分,主机节点里的主机任务负责发送帧头,主机节点或从机节点里的从机任务接收帧头,并对帧头所包含的信息进行解析,然后决定是发送应答,还是接收应答,还是不作任何反应。当主机节点的信号发生变化时,主机节点的主机任务就会发送偶发帧的帧头,主机节点的从机任务收到帧头后,发送信号,其他从机节点根据自身需要选择是否接收(其实也是分析帧ID后判断的)收听节点如何校验呢?同样地,把数据段内的各字节依次相加,如果有进位,则加到低位,得到的值不取反,与接收到的校验和相加,最终得到0xFF,校验无误。

2024-01-08 10:58:06 1275

原创 一文看懂四大汽车总线:LIN、CAN、FlexRay、MOST

MOST在制订上完全合乎ISO/OSI的7层数据通讯协议参考模型,而在网线连接上MOST采用环状 拓朴, 不过在更具严苛要求的传控应用上, MOST也允许改采星状( 亦称放射状) 或双环状的连接组态,此外每套MOST传控网络允许最多达64个的装置(节点)连接。此款车采用基于 飞思卡尔的微控制器和恩智浦的收发器, 可以监视有关车辆速度、纵向和横向加速度、方向盘角度、车身和轮胎加速度及行驶高度的数据, 实现了更好的乘坐舒适性以及驾驶时的安全性和高速响应性,此外还将施加给轮胎的负荷变动以及底盘的振动均减至最小。

2024-01-08 10:53:53 1193

原创 学习汽车LIN总线该如何入门?

LIN总线作为低成本的车载低速总线,相对而言协议比较简单,常规产品中使用的比较多的就是无条件帧和诊断帧。

2024-01-05 10:00:00 861

原创 低成本总线技术——LIN总线协议规范介绍

LIN的数据帧包括报头,响应两大部分。而报头包含了间隔场,间隔界定,同步场,标识符场等部分。响应包含了数据场和校验和场。数据帧的结构示意如上图所示,数据帧按照报头,响应两大部分组成。其中字节间隔位于每个字节之间,响应间隔位于报头和响应之间。其作用在于,实际应用过程中,间隔时间留给MCU足够时间准备反应。间隔场间隔场用于表示一帧报文的开始。主节点发出的间隔信号至少有13个显性位,间隔界定符至少有1个隐性位。同时,从节点需要连续检测到11个显性位才认为是间隔信号。同步场。

2024-01-05 09:53:33 999

原创 一文看懂LIN总线

通常情况下,帧的应答,总线上只存在一个发布节点,否则会出现错误。从机节点可以不采用高精度的时钟,而采用片上振荡器等精度和成本相对较低的时钟,由此带来的与主机节点时钟产生的偏差,需要通过同步段进行调整,调整的结果是使从机节点数据的位速率与主机节点一致。与事件触发帧关联的多个无条件帧需要满足以下5个条件:数据场所包含的数据字节数等长使用相同的效验与类型数据场的第一个字节为该无条件帧的受保护ID,这样才能够知道应答是哪个关联的无条件帧发送出来的由不同的从机节点发布不能与时间触发帧处于同一个进度表中。

2024-01-05 09:50:36 1033

原创 在CANFD协议中,为什么会存在发送延迟?

通常情况下,导线延时为5ns/m,建议选择较粗的导线,线径越大,延迟越小,或者可以使用镀金、镀银的线缆(镀金的0.2平方毫米线相当于1.0平方毫米的铜线)。在CANFD中,数据段的波特率是比CAN更高的(BRS位为隐性时),此时波特率越高,位宽越小,在发送报文时发送延迟影响越大,越容易产生位错误。为1Mbps,即位宽1us,正常情况下,传输延迟不会超过位宽的采样点(当然具体延迟取决于收发器环路延迟、传输距离、传输。是CAN总线规范必测项目,选取性能高的收发器,可以有效降低传输延时,增加总线传输距离。

2024-01-03 15:36:00 1013

原创 汽车总线中,为何CAN FD还不能大面积取代CAN总线?

CANFD的应用越来越多,起因于车辆上需要的通讯数据越来越多,原有的CAN负载率逐年升高,但是原有的CAN通讯的架构已经非常的庞大,如果全部推翻更换一个全新的通讯方式所要付出的代价实在是太大,所以CANFD不失为一个比较好的折中方案,既能兼容原有的CAN通讯,又不至于增加太高的成本,同时还可以大大提高数据的传输量。

2024-01-03 11:39:34 1045

原创 学习笔记|电动汽车上CAN报文解析

统一的CAN协议,首先是零部件供应商的福音。常用的CAN总线协议标准SAE-J1939中,标准给OSI(开放系统互联参考模型)定义成七层:物理层,数据链路层,网络层,传输层,会话层,表示层,应用层。在J1939基础上新增的节点包括:整车控制器,自动换挡变速器,DCDC,电动助力转向,电动助力制动,电机控制器,电空调控制器,动力电池管理系统。第一、二字节,协议中规定了,1818D0F3ID帧内,第一、二字节表示电压,并且,高字节表示高字节表示电压数值的高位,低字节表示电压数值的低位,比例因子0.1V。

2023-12-27 14:26:17 876

原创 CAN总线分支为何不能太长?

CAN网络的消息是广播式的,即在同一时刻网络上所有节点侦测的数据是一致的,它是一种基于消息广播模式的串行通信总线。CAN总线有4种常见的拓扑类型,分别是:直线型拓扑、星型拓扑、树状拓扑和环形拓扑,由于CAN总线的分布线受环境和产品等因素影响,导致在现场布线中出现总线的分支过长/过多等现象。从根源上来解决CAN总线太长的问题,就是减少CAN节点的分支长度,从而降低信号反射,保证位宽的稳定性。可以使用标准的“手牵手”的接口布线标准方式,让分支的长度最小,以达到减小分支长度问题来解决。

2023-12-27 10:30:44 403

原创 CAN通讯进阶- 为什么会有错误帧 什么是SSP SJW Tseg Tq和Transceiver delay compensation

假如传输速率为2Mb/s,1s=10^9ns,2Mb=2*10^6bit,则一个bit时间Tb=500ns。在Vector的配置工具中,定义Prop+Seg1 =TSeg1, Seg2 =TSeg2。采样点:读取总线电平的时刻,并将读到的电平作为位值的点。位置在TSeg1结束处。比如采样点为80%,意思是(同步段(1) +TSeg1)/(同步段+Tseg1Tseg2) = 80%。

2023-12-27 10:18:55 1050

原创 高手写的CAN总线入门总结

正常情况下,逻辑高电平为1,逻辑低电平为0,但是CAN总线却是逻辑高电平为0,称为显性,逻辑低电平为1,称为隐性。如果多个节点同时访问总线,CAN使用非破坏式、逐位仲裁的方式决定哪个节点使用总线:各发送节点从仲裁域(标识符和RTR域)的第1位开始进行仲裁,连续输出显性电平(0)最多的节点可以继续发送。标识符数值为0的CAN报文,具有最高优先级,因为它输出的显性电平最多。发送节点的报文帧中,ACK两位是隐性位,当接收器正确地接收到有效的报文,接收器会在应答位期间向发送节点发送一个显性位,表示应答。

2023-12-26 11:01:15 950

原创 汽车行业必须知识--CAN FD通讯

以上可以看出,CAN-FD显著提高了车载CAN网络的带宽,更长的数据场长度可以避免CAN总线上使用多次传输。并且CAN-FD的成本与CAN总线基本接近,对目前的软件和应用程序改动较小,CAN-FD保留了车载CAN网络的物理层和拓扑结构,能够提供CAN总线网络的无缝升级,这些优越性为CAN-FD提供了良好的发展前景。上图是CAN标准帧和CAN FD标准帧对比图。

2023-12-25 11:46:29 463

原创 CANmod.input:8 x 模拟/数字/脉冲至 CAN 总线转换器

独立 - 无需 PC。与任何 CAN 总线集成以添加输入传感器数据。包含 DBC7 x 2 x 5 厘米。70G。8 个 LED。通过 DB9 提供 5-26 V DC。3.3V激励信号。用于配置/固件/流的USB8 个模拟输入通道(1kHz,10 位)。可配置电压范围(0-0.625V 至 0-10V)每个通道的数字输入读数。1 kHz。可配置低/高/迟滞每个通道的脉冲输入读数。16 kHz。频率或计数器模式(最高 32 位)通过 JSON 配置和GUI配置传感器、CAN ID、比特率、频率等。

2023-12-22 12:22:27 799

原创 一文详述CAN及CANopen协议,万字内容,目录清晰,需耐心阅读

例如驱动器位置环的周期1ms,控制器周期为4ms,伺服当前位置为300inc,目标位置为700inc,那么接下来的4个周期伺服位置环的“设定值”就依次是:400inc,500inc,600inc,700inc。在运行同步工作模式的时候,SDO和TPDO也是允许的,但是发送的时机是需要检测的。通俗的理解就是CANopen通讯的地址。如果同步信号抖动为5us,速度3000RPM,分辨率10000,同步周期4ms,即每4ms更新2000inc,那么5us是2.5inc,即5us的抖动精度在±2.5inc。

2023-12-22 10:26:51 1143

原创 十年资深汽车工程师分享——CAN总线设备在研发中的应用

其次,就软件而言,Vector的功能随着各种功能升级,价位越来越高,这就导致了90%的用户只用了10%的功能,但客户却要支付100%的价格,特别是在很多车厂和零部件供应商商用的情况下,费用无法承担。可现在,整车ECU越来越多,大量信号交互,功能实现往往涉及多个ECU,就极易在信号接口定义上产生漏洞,触发功能失效,所以,故障判断时,就需要对信号进行实时分析、或者对ECU诊断,才可能找到故障根源,比如整车无法Ready、动力丢失等;所以,套用上面老板的公式,有人改成了,“造车很简单,就是四个轮子+一部手机”。

2023-12-19 10:23:50 878 1

原创 CAN总线学习笔记 | CAN基础知识介绍

CAN 是控制器局域网络 (Controller Area Network) 的简称,它是由研发和生产汽车电子产品著称的德国 BOSCH 公司开发的,并最终成为国际标准(ISO11519以及ISO11898),是国际上应用最广泛的现场总线之一。

2023-12-19 09:42:50 877 1

转载 汽车CAN总线负载率要如何计算呢?

也不同,0x000优先级最高,0x7ff优先级最低,所以如果需要在高优先级下测试,就用0x000报文模拟负载,如果需要在低优先级下测试,就用ox7ff报文模拟负载,这样就可以模拟。我们模拟发送一条周期报文,计算1秒内它传输到总线上的bit数,然后再除以1秒内最大允许的bit数,不就得到总线的负载了嘛。在点击按键a发送报文的同时,打开CANoe里的Statistics界面,监测CAN。这里我以每1ms发送4条相同的报文,那么负载也就是只发送一条报文的负载的4倍。的报文,也有要求,不同的报文ID,

2023-12-14 10:45:52 202 1

原创 CAN总线不加终端电阻现象分析

如图6所示,是图3对应的示波器截图,从图中看出,当光标区域的ΔX为一个位,即4us时,差分信号在光标B处的电压YB为3.341V,远高于CAN规范中的隐性电平判断上限值0.5V,显性电平判断下限值0.9V,所以此时的位被判断为显性位,而又由于时间常数远大于250Kbps波特率下的位时间,所以会有超过5个位被判断为显性位,从而破坏了CAN规范中的填充规则,出现了帧ID填充错误。下面以CANScope不加终端电阻,波特率为10Kbps进行自发自收为例,如图7所示,CANScope报文列表中,无错误帧产生。

2023-12-13 17:19:25 930 1

原创 一文读懂CAN系统架构和帧结构

CANopen是一种架构在控制局域网络CAN)上的高层通信协议,包括通信子协议及设备子协议,常在嵌入式系统中使用,也是工业控制常用到的一种现场总线。CANopen 实现了OSI模型中的网络层以上(包括网络层)的协定。CANopen 标准包括寻址方案、数个小的通讯子协定及由设备子协定所定义的应用层。CANopen 支持网络管理、设备监控及节点间的通讯,其中包括一个简易的传输层,可处理资料的分段传送及其组合。一般而言数据链结层及物理层会用CAN来实作。除了 CANopen 外,也有其他的通讯协定(如。

2023-12-13 14:52:48 1217 1

原创 纯电动汽车VCU标定与应用

应用层软件开发,标定测试。

2023-11-29 17:20:32 451 1

原创 高速CAN和低速CAN有啥区别?

CAN线电压的测量:在整车上电的情况下,使用AS201万用表的电压档分别测量CAN高、CAN低对信号地之间的电压(可以在OBD接口6号和14号针脚分别测量下对地的电压)。:我们可以测量OBD上的6和14,3和11上面的针脚,看电压正不正常测量3号针脚,11号针脚电压是正常的,再测量6号针脚,14号针脚电压不对,很明显短路了。1、CAN控制器:负责解析来自CAN收发器送来的数据,也将控制器中的数据传送到CAN收发器并发送出去。CAN收发器和控制器分别对应CAN的物理层和数据链路层,完成CAN报文的收发;

2023-11-29 15:36:21 973 1

原创 Vector - CANoe - Vector Hardware Manager基础介绍

编辑1、Vector Hardware Manager打开后会显示所有联机到本地PC的VN/VX设备,并包含他们的基本信息包括:通道配置、产品序列号、硬件通道信息等;并且这些硬件的端口都可以和CANoe的软件端口进行映射配置使用。编辑2、为了车载以太网相关的测试更加接近实际情况,增加了以太网网络接口配置;由于我手上没有以太网相关的设备,只能使用箭头指示,大家有设备的情况下可以看下。编辑。

2023-11-28 09:35:10 884

原创 CANoe从入门到精通-清华大学出版

基础篇首先介绍车载网络的相关知识,接着介绍CANoe开发环境和常见功能的使用,以便初学者掌握使用CANoe进行一般的总线仿真、测试和分析的方法;入门篇首先介绍CANoe开发总线仿真的基础知识,接着结合实例重点介绍总线数据库创建、面板设计,以及CAPL语言设计;进阶篇结合广大开发工程师可能面临的技术难题,由简单到复杂地介绍一些典型实例,以提高读者的实战技术。基础篇首先介绍车载网络的相关知识,接着介绍CANoe的开发环境和常见功能的使用,以便初学者掌握使用CANoe进行一般的总线仿真、测试和分析的方法;

2023-11-24 11:35:50 981 1

原创 INCA基础操作:文件刷写

INCA可以使用多种硬件,在使用INCA之前,需要确认所使用硬件驱动是否已成功安装,在INCA安装时,一般会先安装HSP Update Tool,用于对应硬件驱动的安装。(3)如果硬件已经连接,则会自动搜索到目标硬件,本文自动查找到的硬件为ES581,如下所示。在使用INCA之前,需要确认目标板是否处于工作状态,即:标定Channel通信正常与否。(1)驱动程序->ES581->选择对应的硬件型号(本文:ES581...)右击SSM_Pro->添加->工作区(W)并命名(本文:Workspace)

2023-11-22 10:52:17 2013

转载 CAN总线协议

CAN 是 Controller Area Network 的缩写(以下称为 CAN),是 ISO 国际标准化的串行通信协议。在北美和西欧,CAN 总线协议已经成为汽车计算机控制系统和嵌入式工业控制局域网的标准总线,并且拥有以 CAN 为底层协议专为大型货车和重工机械车辆设计的 J1939 协议。CAN 协议经 ISO 标准化后有 ISO11898 标准和 ISO11519-2 标准两种。ISO11898 和 ISO11519-2 标准对于数据链路层的定义相同,但物理层不同。回读机制。

2023-11-20 14:37:20 32 1

原创 CAN FD数据记录仪-- LIN数据记录仪-- CANedge GNSS记录仪 ASC/MF

CANedge1是长时间记录CAN FD/LIN总线数据的理想选择—可应用于汽车OEM研发、数据采集,车队数据管理,自动驾驶数据采集,总线黑匣子,车辆故障诊断等。通过工业DB9接口进行设备供电和CAN/LIN总线连接,提供免费且完全开源的MDF4软件/ API软件对总线数据进行处理,将其转换为您熟悉的文件格式(Vector ASC,TRC,CSV等)。汽车级专业CAN/LIN记录仪-高性能低成本CANedge1是专业数据记录仪,先进的组件和极低的成本。

2023-11-02 10:50:45 57

PLIN-View基本使用方法

PLIN-View基本使用方法

2023-05-30

vehicle spy详细中文教程

vehicle spy 详细中文教程

2023-05-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除