【verilog语法】modelsim编译verilog代码报Error:range must be bounded by constant expressions


写了一段激励代码,用for循环,依次把一个寄存器的bit[32*(i+1) : 32*i]赋值给一个axi数据总线,代码基本结构如下:


integer i;

initial begin

    for(i=0;i<=NUM;i=i+1) begin

        axi_wdata[31:0] <= wdat[32*(i+1) : 32*i];

@(negedge clk);

    end

end


用modelsim仿真后,报range must be bounded by constant expressions。


这个和语言语法有关系,就不深入分析了。


一个简单的解决方法是,每次把wdat[31:0]赋值给axi_wdata,但是赋值完后,将wdat右移32bit。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值