vivado 2018.3 烧写固化FPGA verilog代码以及出现的问题解决

vivado一般是与SDK同时使用的,像zynq系列,通过SDK烧写固化代码很方便,但是有的时候比如本人目前使用的是XC7K325T FPGA进行的开发,不会用到SDK软件,所以烧写固化代码想通过vivado直接操作。

1、按照网上百度的方法进行设置,如下

遇到的第一个问题就是在vivado2018.3的flash型号列表中没有本人使用的flash,怎么办呢,添加flash,添加方法网上有很多,就是在vivado 2018.3的安装目录下找到...\vivado20183\Vivado\2018.3\data\xicom

找到这个文件后打开,里面就能看到每个FPGA系列支持的flash型号,如下

这里面是对flash进行的一个比较详细的描述,CFGMEM_ID是序号;NAME为型号,COMPATIBLE_DEVICES

  • 14
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
下面是使用Vivado 2018.3软件和Verilog HDL语言实现Basys3四位数码管动态显示的一个简单例子。 首先,在Vivado中创建一个新项目,添加Basys3开发板作为目标板卡,并设置好约束文件。 然后,我们需要创建一个Verilog模块,用于控制四位数码管的动态显示。以下是一个示例代码: ```verilog module digit_display( input clk, // 时钟信号 input [3:0] data_in, // 输入数据,4位二进制数值 output reg [6:0] anodes, // 数码管阳极输出 output reg [6:0] segments // 数码管阴极输出 ); reg [25:0] counter; // 计数器,用于控制数码管动态显示 reg [3:0] digit; // 当前显示的数码管编号 // 数码管显示控制 always @(posedge clk) begin counter <= counter + 1; if(counter == 250000) begin // 50Hz 的刷新频率 counter <= 0; digit <= digit + 1; if(digit == 4) begin digit <= 0; end end end // 数码管数据输出 always @(posedge clk) begin case(digit) 0: begin anodes <= 7'b1111110; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end 1: begin anodes <= 7'b1100111; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end 2: begin anodes <= 7'b1001111; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end 3: begin anodes <= 7'b1110011; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end endcase end endmodule ``` 在这个模块中,我们使用计数器和一个 `digit` 变量来控制四位数码管的动态显示。每个数码管的数据由输入的 4 位二进制数值决定,通过 `case` 语句来选择要显示的数码管。在每个时钟上升沿时,根据 `digit` 的值输出相应的阳极和阴极信号。 最后,将这个Verilog模块添加到Vivado项目中,并生成比特流文件,烧录到Basys3开发板上即可实现四位数码管的动态显示。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值