vivado Aurora 8B/10B IP核(9)- CRC、 Aurora 8B/10B内核的时钟接口端口

CRC 模块提供 16 位或 32 位 CRC,用于用户数据。
Aurora 8B/10B 内核的时钟接口端口
从相邻收发器四边形的时钟Xilinx 实现工具可以根据需要对南北路由和引脚交换到收发器时钟输入进行必要的调整,以将时钟从一个四线到另一个。
重要信息:共享参考时钟时必须遵守以下规则,以确保满足高速设计的抖动余量:
•7 系列 FPGA 中由外部时钟引脚对(mgtrefclkn / mgtrefclkp)采集的 GTP 或 GTH 收发器四分之一 的总数不能超过三个四分之一(一个四分之一和一个四分之一)或 12 个 GTPE2_CHANNEL /
GTHE2_CHANNEL 收发器。7 系列 FPGA 中超过 12 个收发器或超过 3 个四极的设计应使用多个外部时钟引脚。
•UltraScale 架构 FPGA 中由外部时钟引脚对(mgtrefclkn / mgtrefclkp)采集的收发器四分之一的
总数不得超过五个四分位数(下面两个四分之一和两个四分之一)或 20 个 GTHE3_CHANNEL 收发器。
  • 5
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值