vivado 物理约束CONFIG POST_CRC Commands

CONFIG POST_CRC Commands
ENABLE
Applied To
Global
Constraint Values
ENABLE
UCF Example
CONFIG POST_CRC = ENABLE;
XDC Example
set_property POST_CRC ENABLE [current_design]
DISABLE
Applied To
Global
Constraint Values
DISABLE
UCF Example
CONFIG POST_CRC = DISABLE;
XDC Example
set_property POST_CRC DISABLE [current_design]
CONFIG POST_CRC_ACTION Commands
HALT
Applied To
Global
Constraint Values
HALT
UCF Example
CONFIG POST_CRC_ACTION = HALT;
XDC Example
set_property POST_CRC_ACTION HALT [current_design]
CONTINUE
Applied To
Global
Constraint Values
CONTINUE
UCF Example
CONFIG POST_CRC_ACTION = CONTINUE;
XDC Example
set_property POST_CRC_ACTION CONTINUE [current_design]
CORRECT_AND_CONTINUE
Applied To
Global
Constraint Values
CORRECT_AND_CONTINUE
UCF Example
CONFIG POST_CRC_ACTION = CORRECT_AND_CONTINUE;
XDC Example
set_property POST_CRC_ACTION CORRECT_AND_CONTINUE\ [current_design]
CORRECT_AND_HALT
Applied To
Global
Constraint Values
CORRECT_AND_HALT
UCF Example
CONFIG POST_CRC_ACTION = CORRECT_AND_HALT;
XDC Example
set_property POST_CRC_ACTION correct_and_halt\ [current_design]
CONFIG POST_CRC_FREQ
Applied To
Global
Constraint Values
Integer; frequency in MHz
UCF Example
CONFIG POST_CRC_FREQ = 50;
XDC Example
set_property POST_CRC_FREQ 50 [current_design]
CONFIG POST_CRC_INIT_FLAG
ENABLE
Applied To
Global
Constraint Values
ENABLE
UCF Example
CONFIG POST_CRC_INIT_FLAG = ENABLE;
XDC Example
set_property POST_CRC_INIT_FLAG ENABLE [current_design]
DISABLE
Applied To
Global
Constraint Values
DISABLE
UCF Example
CONFIG POST_CRC_INIT_FLAG = DISABLE;
XDC Example
set_property POST_CRC_INIT_FLAG DISABLE [current_design]
CONFIG POST_CRC_SOURCE
FIRST_READBACK
Applied To
Global
Constraint Values
FIRST_READBACK
UCF Example
CONFIG POST_CRC_SOURCE = FIRST_READBACK;
XDC Example
set_property POST_CRC_SOURCE FIRST_READBACK\ [current_design]
PRE_COMPUTED
Applied To
Global
Constraint Values
PRE_COMPUTED
UCF Example
CONFIG POST_CRC_SOURCE = PRE_COMPUTED;
XDC Example
set_property POST_CRC_SOURCE PRE_COMPUTED [current_design]
DEFAULT Commands
Note : DEFAULT is not supported. I/O ports must be individually configured.
DEFAULT FLOAT
Applied To
Global
Constraint Values
Boolean
UCF Example
DEFAULT FLOAT = TRUE;
XDC Example
The Vivado Design Suite does not support this constraint in XDC.
DEFAULT KEEPER
Applied To
Global
Constraint Values
Boolean
UCF Example
DEFAULT KEEPER = TRUE;
XDC Example
The Vivado Design Suite does not support this constraint in XDC.
DEFAULT PULLDOWN
Applied To
Global
Constraint Values
Boolean
UCF Example
DEFAULT PULLDOWN = TRUE;
XDC Example
The Vivado Design Suite does not support this constraint in XDC.
DEFAULT PULLUP
Applied To
Global
Constraint Values
Boolean
UCF Example
DEFAULT PULLUP = TRUE;
XDC Example
The Vivado Design Suite does not support this constraint in XDC.
  • 6
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值