基于Zynq 7000 SoC的迁移设计

基于Zynq 7000 SoC的迁移设计
Vivado IDE工具使用IP集成器进行嵌入式开发。各种IP
Vivado IDE IP目录中提供,以适应复杂的设计。您也可以添加
自定义IP到IP目录。
您可以将基于Zynq 7000平台处理器的设计迁移到Vivado design Suite中
使用以下步骤。
1.生成系统基础设施。
a.使用所需的板或可编程设备创建Vivado项目。
b.在Flow Navigator中,单击IP Integrator,然后选择Create Block Design。
c.输入设计名称:Design_1。这将生成块设计。
2.添加ZYNQ7处理系统,并从XPS设计中导入XML文件。
a.在方框图中,右键单击任意位置,然后选择“添加IP”以打开“IP目录”。
b.在IP目录中,双击ZYNQ7 Processing System。这实例化了
processingsystem7_0实例在块体设计中的应用。
c.双击processing_system7_0实例。
d.在“重新自定义IP”对话框的顶部,单击“导入XPS设置”。
e.单击浏览并选择用于XPS的XML文件的目录。
f.单击“确定”。
提示:通常,XML文件位于<XPS_Project>/data中/
ps7_system_prj.xml。XML文件存储与Zynq设备外围设备有关的信息,
MIO设置、DDR设置和时钟,包括结构时钟。您必须启用AXI和其他
Zynq设备的手动接口。
3.打开MHS文件,查看processing_system7实例参数和端口。
a.在页面导航器中,选择PS-PL配置。
b.在MHS文件中进行搜索,并根据中列出的选项设置以下选项
下表。

4.在页面导航器中,选择时钟配置。搜索MHS文件并设置以下内容
选项基于下表中的选择。
请求的频率是根据导入的XML文件自动设置的。

5.如果使用中断:
a.在“页面导航器”中,选择“中断”。
b.检查结构中断并选择Zynq设备使用的中断。
在BSB设计中,使用了PL-PS中断端口下的IRQ_F2P[15:0]。检查
PL-PS中断端口下的IRQ_F2P[15:0]。
6.在“重新自定义IP”对话框中,单击“确定”保存导入的设置。
ZYNQ7处理系统块自动化
1.单击“为/producting_system7_0运行块自动化”。这使得
顶层设计的外部连接。
2.单击“应用板预设”以匹配板(如果适用)。
3.单击“确定”。
将结构时钟连接到processing_system7实例
在文本编辑器中打开MHS,以确定AXI接口时钟是否已连接用于设计
自动化功能正常。在MHS中搜索这些时钟包括:

M_AXI_GP0_ACLK
S_AXI_GP1_ACLK
S_AXI_HP1_ACLK
M_AXI_GP1_ACLK
S_AXI_ACP_ACLK
S_AXI_HP2_ACLK
S_AXI_GP0_ACLK
S_AXI_HP0_ACLK
S_AXI_HP3_ACLK
通常,这些时钟连接到处理系统7上的FCLK_CLK(0-3)
例子如果没有,则必须将它们连接到外部clk端口或设计中的clk_wiz
与MHS中的配置匹配。根据MHS中的连接连接这些时钟
文件
此外,如果DMA控制器外围设备请求接口包含在processing_system7中
实例在MHS中,连接以下时钟(如果适用):DMA0_ACLK、DMA1_ACLK,
DMA2_ACLK、DMA3_ACLK。
通常,这些时钟连接到processing_system7实例上的FCLK_CLK(0-3)。如果
否则,必须将这些时钟连接到外部clk端口或设计中的clk_wiz
与MHS中的配置匹配。
为基础设计和设计自动化添加IP
本节介绍将AXI IP添加到设计中。Design Automation提供(1)连接到
Proc Sys Reset IP用于重置功能,以及(2)IP AXI接口和
生成的AXI互连实例。使用ZYNQ7处理系统块,可以
至九个AXI3接口(2xAXI3主接口/6xAXI3从接口)。
添加AXI从属IP(AXI4 Lite和AXI4)示例
将等效IP添加到框图中。以下是AXI GPIO的示例步骤:
1.右键单击方框图中的任意位置,然后选择“添加IP”。
2.搜索并双击AXI GPIO以添加IP。
3.双击实例(axi_gpio_0)以配置IP。
4.打开MHS并尽可能紧密地匹配设置;参数可能是
添加、修改或删除。
5.如果可能,选择Generate Board based IO Constraints以根据

6.单击“确定”。
注:参数验证在验证XPS和IP之间的参数一节中进行了讨论
在基于Zynq平台处理器的设计中AXI主设备和从设备的积分器设计。
7.在MHS中的AXI从实例部分,找到BUS_INTERFACE S_AXI行。
请注意,S_AXI的名称可能略有不同。
8.基于该线上等式的右侧(BUS_INTERFACE S_AXI=
AXI_INTERCONNECT_GP0_MASTER在这种情况下(AXI_INTERONECT_GP0-MASTER),执行
搜索并追溯到processingsystem7实例。
例如,考虑BUS_INTERFACE M_AXI_GP0=
AXI_INTERCONNECT_GP0_MASTER。
此AXI从接口连接到M_AXI_GP0接口。AXI从接口
Zynq设备可以连接到M_AXI_GP0、M_AXI_GP1,具体取决于连接
在MHS中。
9.在IP上的AXI从接口上运行连接自动化。例如,
/axi_gpio_0/S_axi接口。对于/axi_gpio_0/S_axi接口,接口
连接应用于主设备:/producting_system7_0/M_AXI_GP0。
10.选择“确定”。
这将创建或修改processing_system7__axi_periph实例。
对于其他时钟和复位,以及其他内部或外部信号
连接与XPS设计中使用的方法类似。如果可能,使用连接
外部接口上的自动化,如/axi_gpio_0/gpio接口。
  • 19
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
要将Zynq 7000 SoC的封装文件转换为AD(Allegro Design)封装,需要执行以下步骤: 1. 下载所需的Zynq 7000 SoC封装文件。通常,这些文件可以从Xilinx官方网站或其他电子元件供应商的网站上获得。 2. 打开Allegro Design软件,并在菜单中选择“创建新封装”。 3. 进入封装编辑界面后,选择“导入”或“导入模型”选项,以将Zynq 7000 SoC的封装文件导入到Allegro Design中。 4. 在导入文件时,软件可能要求您选择适当的文件格式。根据Zynq 7000 SoC封装文件的格式选择正确选项。 5. 根据封装文件的类型和所需的封装规格,开始编辑封装文件。您可以添加或删除引脚、调整引脚位置、设定引脚间距、定义引脚作用等。 6. 在编辑过程中,确保封装文件的准确性和完整性。如果需要,可以进行细微的调整和修正,以便满足AD封装要求。 7. 当所有修改都完成后,保存您的封装文件。通常,Allegro Design软件会将封装文件保存为特定的文件格式,如.BRD。 8. 最后,导出或生成所需的AD封装文件。这些文件可以用于布局和设计其他电路板。 请注意,以上步骤概述了将Zynq 7000 SoC的封装文件转换为AD封装的过程。具体步骤可能会因软件版本、封装文件格式和所需封装规格而有所不同。因此,在实际操作中,您可能需要参考Allegro Design软件的用户手册或相关文档,以获得更详细和准确的步骤指导。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值