vivado SLEW

SLEW为配置了I/O标准的输出缓冲区指定了输出缓冲区转换速率
支持可编程输出转换速率。
架构支持
所有架构。
适用对象
•端口(get_Ports)
°连接输出或双向端口
•单元(get_cell)
°输出缓冲器(所有OBUF变体)
价值观
•慢速(默认)
•中等:适用于UltraScale体系结构,仅适用于高性能(HP)I/O。
•快速

Syntax
Verilog Syntax
To set this attribute when inferring I/O buffers, place the proper Verilog attribute syntax
before the top-level output port declaration.
(* DRIVE = "{SLOW|FAST}" *)
Verilog Syntax Example
// Sets the Slew rate to be FAST
(* SLEW = "FAST" *) output FAST_DATA,
VHDL Syntax
To set this attribute when inferring I/O buffers, place the proper VHDL attribute syntax
before the top-level output port declaration.
Declare the VHDL attribute as follows:
attribute SLEW : string;
Specify the VHDL attribute as follows:
attribute SLEW of port_name : signal is value;
Where
port_name is a top-level output port.
VHDL Syntax Example
FAST_DATA : out std_logic;
attribute SLEW : string;
-- Sets the Slew rate to be FAST
attribute SLEW of STATUS : signal is “FAST”;
XDC Syntax
set_property SLEW value [get_ports port_name]
Where
port_name is an output or bidirectional port.
XDC Syntax Example
# Sets the Slew rate to be FAST
set_property SLEW FAST [get_ports FAST_DATA]
  • 8
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值