Vivado XDC文件

前言

可以参考《Xilinx FPGA权威设计指南》,还有Xilinx提供的手册,如下图所示。文后的参考文献也不错,值得阅读。

1、UCF/XDC常用命令

UCF约束到XDC命令之间的映射关系

UCFXDC作用
TIMESPEC PERIOD

create_clock

create_generated_clock

OFFSET= IN<x>BEFORE<clk>set_input_delay
OFFSET=OUT<x>BEFORE<clk>set_output_delay
FROM:TO "TS_" *2set_multicycle_path
FROM:TOset_max_delay
TIGset_false_path
NET "clk_p" LOCK = AD12set_property LOC AD12 [get_ports clk_p]将信号端口clk_p与FPAG芯片管脚AD12进行绑定。
NET "clk_p" IOSTANDARD=LVDSset_property IOSTANDART LVDS [get_ports clk_p]将信号端口clk_p的电平标准设为LVDS。

通用
setexprlistfilter
current_instanceget_hierarchy_separatorset_hierarchy_separatorget_property
set_propertyset_unitsendgroupstartgroup
时序约束
create_clockcreate_generated_clockgroup_pathset_clock_groups
set_clock_latencyset_data_checkset_disable_timingset_false_path
set_input_delayset_output_delayset_max_delayset_min_delay
set_multicycle_pathset_case_analysisset_clock_senseset_clock_uncertainty
set_input_jitterset_max_time_borowset_propagated_clockset_system_jitter
set_external_delay
物理约束
add_cells_to_pblockcreate_pblockdelete_pblockremove_cells_from_pblock
resize_pblockcreate_macrodelete_macrosupdate_macro

2、引脚约束

(1)I/O引脚分配设置语法格式

set_property PACKAGE_PIN <pin name>[get_port <port>    ] ##规范
set_property PACKAGE_PIN W13       [get_port light_led1] ##举例

(2)IO引脚驱动能力设置语法格式

set_property DRIVE<2 4 6 8 12 16 24>[get_ports<ports>]

(3)IO引脚电器标准设置语法格式

set_property IOSTANDARD <IO standard> [get_ports <ports>   ]  ##规范
set_property IOSTANDARD LVCMOS33      [get_ports light_led2]  ##举例

(4)IO引脚抖动设置语法格式

set_property SLEW <SLOW|FAST>[get_ports<ports>]

(5)IO引脚上拉设置语法格式

set_property PULLUP true[get_ports<ports>]

(6)IO引脚下啦设置语法格式

set_property PULLDOWN true [get_ports<ports>]

参考文献

作者博客
1、长弓的坚持XDC约束技巧——CDC篇
XDC约束技巧之时钟篇
XDC约束技巧之I/O篇 (上)
XDC约束技巧之I/O篇 (下)
2、Times_poemxdc文件时钟约束的初识
Vivado时钟分组约束的三类应用
3、angelbosj关于 vivado 的IO口约束
 4、张海军20132 FPGA时序约束理论篇之时序路径与时序模型
5、 张大侠12 FPGA时序约束实战篇之多周期路径约束
6、weiweiliuluvivado 时序约束

  • 11
    点赞
  • 135
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值