USER_SLR_ASSIGNMENT

在堆叠硅互连(SSI)设备上放置设计元素时,您可以使用
要管理的USER_SLR_ASSIGNMENT、USER_CROSSING_SLR和USER_SLL_REG属性
逻辑分区和Vivado放置工具的行为。SSI设备由以下部分组成
多个超逻辑区域(SLR),由称为超长线的插入器连接连接连接起来
(SLL)。有关在单反相机中放置和布线的更多信息,请参阅中的此链接
Vivado设计套件的超快设计方法指南(UG949)[参考24]。
USER_SLR_ASSIGNMENT属性允许您指定单元格在定义的
超逻辑区域(SLR),或在不定义特定SLR的情况下组合到同一SLR中
SLR。该属性有两种形式,如下面的Value部分所定义:SLRn,它定义了
将单元格放入的特定SLR,或将单元格分组在一起的group_name
尽管不是特定的单反相机。

重要提示:该属性被视为砂矿开采者将尝试遵循的指导方针,但也可以
覆盖以实现有效的放置结果。
要管理SLR之间的放置,请从USER_SLR_ASSIGNMENT开始,将逻辑分配给
SLR或组,添加USER_CROSSING_SLR以控制逻辑中的哪个网段交叉
并在必要时添加USER_SLL_REG。USER_SLR_ASSIGNMENT具有
最高优先级。将其与USER_CROSSING_SLR一起使用,以控制单个网络/引脚
跨越SLR边界。
架构支持
所有架构。
适用对象
•单元(get_cell)作为分层模块。
价值观
•SLRn:其中“n”是一个整数,表示设备中的特定SLR。砂锅将
尝试将分层单元格的内容保持在指定的SLR内。

•group_name:这是一个唯一的字符串值,可以分配给一个或多个
分层单元或模块。放置者将尝试用
将常见的group_name合并为单个SLR,但具体的SLR并不重要。

Syntax
Verilog and VHDL Syntax
Not applicable
XDC Syntax
set_property USER_SLR_ASSIGNMENT <SLRn | group_name> <objects>
XDC Example 1:
set_property USER_SLR_ASSIGNMENT SLR1 [get_cells {cell1 cell2}]
The placer will try to avoid partitioning cells cell1 and cell2 and try to place them in SLR1.
XDC Example 2:
set_property USER_SLR_ASSIGNMENT group_1 [get_cells {cell1 cell2}]
The placer will try to avoid partitioning cell1 and cell2 and try to place them in the same
SLR, but the specific SLR is not important.
  • 6
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值