数字后端缩写

原文链接:https://blog.csdn.net/qq_36480087/article/detAIls/111225266

 

CPF: common power format,低功耗设计文件,指定多电压域及power gating等信息。

Lib:liberty interchange format,描述工艺库中cell的时序和功耗信息的文件

Lef:Library exchange format,描述std cell的物理信息,包括形状,尺寸,出pin的位置及金属层,不可布线区域OBS等。

SDF:standard delay format,标准延时文件,用于反标回前端仿真工具进行后仿

Spef:standard parasitic exchange format,标准寄生交换格式文件,EDA工具间传递互连线寄生参数的标准媒介文件。

WNS:worst negative slack, 最差的slack值,表征芯片的最差性能。

TNS:total negative slack, 所有负的slack值之和,表征芯片的一个性能范围。

OCV:on chip variation,片上工艺偏差。

CRPR/CPPR:clock reconvergence pessimism removal / common path pessimism removal,考虑了ocv的时序分析过程中,需要对common path上的悲观度进行剔除。

CCD:concurrent clock and data,在时序优化的过程中使用useful skew向前级或后级接timing。

MMMC:Multi mode multi corner,多种工作模块多种工艺角组合下进行timing等check。

MSMV: Multi-Supply Multi-Voltage (多电源多电压)

PSO:Power Shut Off (电源关断)

SRPG:State Retention Power Gating(状态保持电源门控)

OD:Oxide氧化层,或指有源区。

GDS:Graphic Data System,设计的版图文件,目前是第二代GDS,一般也会写作GDSII,已经成为业界的标准格式。

RSF:Run-Set-File,物理规则描述文件,用于对GDS版图进行检查。在过往常用的工艺里边,大部分的RSF都是基于Calibre的SVRF(Standard Verification Rule Format)、TVF(Tcl Verification Format)语法格式的。

OASIS:随着工艺节点的越来越小,芯片的GDS数据量越来越大,为了便于文件传输,产生了OASIS(Open Artwork System Interchange Standard)格式,文件更小传输更便捷,也是SEMI力推的下一代版图数据格式,用于替代已经服役30多年的GDS格式。

NDR:Non default routing rule, 非默认绕线规则。一般用于设置clock时钟走线的规则,如w2s2,即两倍线宽两倍间距。

ICG: Integrated Clock Gating

itf:interconnect technology format,RC寄生模型文件,用来计算互连线RC。

GRC:Global Routing Cell,在global routing阶段,以GRC为最小单位来安排走线。

POC:power on control,控制IO上电。

SSO:Simultaneous Switching outputs,即允许同时切换的信号 IO 的数量。

多个信号 IO 同时切换时,因更多电流流过 pad ring,在PG IO 的 bonding wire 及片外引线上的电感上,产生 Ldi/dt 的压降。也即 ssn,同时切换噪声。主要是会引起地弹,即 ground bounce。

避免sso 有很多方法。如增加供给pad 用的电源IO 数量,采用double bonding 或triple bonding,采用 slew rate control 的 IO,避免把 pad 电源 IO 放在 corner 上(corner 处 bonding wire 引线最长,L 最大)等。

LPP:Low Power Placement

Move cells closer to shorten non-clock high-activity nets

GLPO:Gate Level Power Optimization,通过门级优化降低功耗

Downsize:对高翻转率net的扇出cell downsize,减小负载电容

Pin swapping:将高翻转率net连接到低电容pin

HFNS:high fanout net synthesis,在综合和place阶段进行的操作,即向大扇出的net插入buffer,以增加驱动能力,减小负载,消除逻辑DRC问题(如max_transition)。

 

  • 4
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值