vivado仿真小实验 —— 全加器仿真(附图讲解)

我看csdn上关于vivado仿真的小实验很少,正好老师让我给师弟们讲讲这个软件,我就自己写一个吧。

用vivado实现一个四位全加器,并用自带的仿真软件仿真
1.创建工程,这一步提前在D盘上创建个文件用来放project

然后一直点NEXT,直到下图

这步是选按照型号选板子,咱只做仿真实验的话,就随便选一个就行。

最后点Finish,就算完成了。

2.创建编程文件 adder 

先点  +  ,然后在弹出的页面中选择中间的design source,然后Next

点击creat File ,在弹出的界面中,输入上文件名:adder,点击OK。

出现下图,算成功。然后点  finish。

然后Module name 是adder,点OK。

在Design Source区域出现adder.v,双击出现右边编程界面 

`timescale 1ns / 1ps


module  adder(
  input  [3:0]a,b,
  input  cin,
  output cout,
  output [3:0]sum
);
  assign {cout,sum} = a + b + cin; 
endmodule

然后程序打上去,点下面这个分析一下,没错就行。

3. 创建仿真文件tb_adder

也是点击 + ,创建 simulation source

然后点create file  ,在name里输入tb_adder,点击OK,然后点击右下角的finish

然后在simulation source里打开

程序如下

module tb_adder();
  reg  [3:0]a,b;
  reg  cin;
  wire cout;
  wire [3:0]sum;
  
  adder inst0(
   .a(a),
   .b(b),
   .cin(cin),
   .cout(cout),
   .sum(sum)
  );
  
 initial
 begin
 a = 0;b = 0;cin = 0;
 #100 a = 4'b0101;
 #100 b = 4'b0101;
 #100 b = 4'b1100;
 #100 $stop;
 end
 initial $monitor($time,,,"a=%d,b=%d,sum=%d,cout=%d",a,b,sum,cout); 
endmodule

然后点击下面这俩,进行仿真。

最后仿真结果如下图

在黄线处进位。

自己也多试试其他数值。

  • 6
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado中进行仿真需要进行以下步骤: 1. 创建一个新的工程:在Vivado主界面选择"Create Project",然后按照向导步骤创建一个新的工程。 2. 添加设计文件:在工程导航器中右键单击"Design Sources",选择"Add Sources",然后选择您的Verilog文件并添加到工程中。 3. 添加仿真文件:在工程导航器中右键单击"Simulation Sources",选择"Add Sources",然后选择仿真文件类型。 对于Verilog代码的仿真,您可以使用Testbench文件来定义输入模拟和验证输出。以下是一个用于仿真二进制全加器的Verilog Testbench示例: ```verilog module FullAdder_TB; // 定义仿真时钟信号 reg clk; always #5 clk = ~clk; // 定义一个周期为10个时间单的时钟 // 定义输入信号 reg a; reg b; reg cin; // 定义输出信号 wire sum; wire cout; // 实例化被测设计模块 FullAdder dut( .a(a), .b(b), .cin(cin), .sum(sum), .cout(cout) ); // 模拟输入 initial begin a = 0; b = 0; cin = 0; #10; // 延迟10个时间单 a = 1; b = 1; cin = 1; #10; // 延迟10个时间单 // 可以添加更多的测试情况 $finish; // 结束仿真 end endmodule ``` 在上述Testbench代码中,我们定义了一个时钟信号和输入输出信号,并在initial块中模拟了不同的输入情况。您可以根据需要修改和添加更多的测试情况。 保存Testbench代码为一个Verilog文件,并将其添加到Vivado工程的"Simulation Sources"中。 4. 运行仿真:在工程导航器中右键单击Testbench文件,选择"Simulate Behavioral Model"以运行仿真。 在仿真运行期间,您可以查看波形以验证输入输出信号的正确性。 希望这对您有所帮助!如有任何进一步的问题,请随时提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

我有一贱

兄弟,咱们一起加油

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值