FPGA学习记录(一)vivado简单仿真全加器

**

FPGA学习记录(一)vivado简单仿真全加器

**

1.先写一个全加器

在这里插入图片描述

`timescale 1ns / 1ps
// 时间单位  /  时间精度

module full_adder(

    input IN1,
    input IN2,
    input IN3,
    output cout,
    output sum
    
);
    
    assign{cout,sum}=IN1+IN2+IN3;
    
endmodule

**

2.在Sources下的Simulation Sources新建一个 .v 文件,文件名为 tb_full_adder, tb表示仿真文件

**
描述
**

3.仿真文件内容.

**

`timescale 1ns / 1ps

module tb_full_adder();
//模拟仿真,所以没有输入输出端口

reg in1;
reg in2;
reg in3;

wire cout;
wire sum;

//例化,有点像C语言 实例化一个类
full_adder fd1(
    .IN1(in1),
    .IN2(in2),
    .IN3(in3),
    .cout(cout),
    .sum(sum)
);

initial                           //激励关键字,只执行一次(always则是一直激励),通常用来赋初值
begin							  //只有在begin-end之间的代码是串行执行
#100 in1<=0;in2<=0;in3<=0;        //#100指的是100秒后
#100 in1<=0;in2<=0;in3<=1;        //使用非阻塞赋值
#100 in1<=0;in2<=1;in3<=0;        //阻塞赋值和非阻塞赋值不要在一个module里出现
#100 in1<=0;in2<=1;in3<=1;
#100 in1<=1;in2<=0;in3<=0;
#100 in1<=1;in2<=0;in3<=1;
#100 in1<=1;in2<=1;in3<=0;
#100 in1<=1;in2<=1;in3<=1;
#100 $finish;
end


endmodule

**

4.开始仿真

**
左键单击Run Simulation->Run Behavioral Simulation, 等待片刻,在写代码的文件旁边会新建仿真结果(第一次运行时差点没找到)
在这里插入图片描述
**

5.仿真结果

**
在这里插入图片描述
符合全加器逻辑

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado中进行仿真需要进行以下步骤: 1. 创建一个新的工程:在Vivado主界面选择"Create Project",然后按照向导步骤创建一个新的工程。 2. 添加设计文件:在工程导航器中右键单击"Design Sources",选择"Add Sources",然后选择您的Verilog文件并添加到工程中。 3. 添加仿真文件:在工程导航器中右键单击"Simulation Sources",选择"Add Sources",然后选择仿真文件类型。 对于Verilog代码仿真,您可以使用Testbench文件来定义输入模拟和验证输出。以下是一个用于仿真二进制全加器的Verilog Testbench示例: ```verilog module FullAdder_TB; // 定义仿真时钟信号 reg clk; always #5 clk = ~clk; // 定义一个周期为10个时间单位的时钟 // 定义输入信号 reg a; reg b; reg cin; // 定义输出信号 wire sum; wire cout; // 实例化被测设计模块 FullAdder dut( .a(a), .b(b), .cin(cin), .sum(sum), .cout(cout) ); // 模拟输入 initial begin a = 0; b = 0; cin = 0; #10; // 延迟10个时间单位 a = 1; b = 1; cin = 1; #10; // 延迟10个时间单位 // 可以添加更多的测试情况 $finish; // 结束仿真 end endmodule ``` 在上述Testbench代码中,我们定义了一个时钟信号和输入输出信号,并在initial块中模拟了不同的输入情况。您可以根据需要修改和添加更多的测试情况。 保存Testbench代码为一个Verilog文件,并将其添加到Vivado工程的"Simulation Sources"中。 4. 运行仿真:在工程导航器中右键单击Testbench文件,选择"Simulate Behavioral Model"以运行仿真。 在仿真运行期间,您可以查看波形以验证输入输出信号的正确性。 希望这对您有所帮助!如有任何进一步的问题,请随时提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值