vivado仿真流程

vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。

1、前期准备工作

在上一篇博客中我们已经详细介绍了vivado建立工程的流程,有需要的朋友可以移步vivado创建工程流程_L:L的博客-CSDN博客进行查看;本次我们在建立的工程基础上进行仿真文件的添加和仿真演示。

2、添加仿真文件

第一步点击Add Sources添加仿真文件,具体步骤如下:

接下来点击Add or create simulation sources,再点击Next

点击Create File创建文件

一般将其命名为文件名_tb,其中tb为testbench的缩写,一般为仿真测试文件,再点击OK

最后点击Finish完成文件创建

3、编写仿真文件代码

之后在sim_1文件夹下可以找到创建的文件

在上述部分完成仿真代码的编写之后点击保存按钮

4、进行仿真设置

鼠标右键点击SIMULATION,之后再点击Simulation Settings

上方红框为仿真软件,我们选择Vivado Simulator也即vivado自带的仿真软件;下方为仿真语言类型我们选择Mixed也即混合型

在Simulation中所示位置可以调节仿真的步进时长,此处设置为10ms;之后点击OK完成设置

5、功能仿真

点击Run Simulation 之后点击第一个Run Behavioral Simulation 进行功能仿真

运行结束后即可查看仿真结果并且进行相应的调节观察仿真波形图

  • 5
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中进行仿真,需要先进行以下几个步骤: 1. 打开Vivado,创建一个新工程,选择一个目录作为工程的存储位置。 2. 添加设计文件和约束文件。 3. 打开仿真窗口,选择要仿真的文件,并设置仿真选项。 4. 生成仿真波形,并进行仿真。 下面是一个简单的例子,展示如何在Vivado中编写仿真文件: 1. 创建工程:在Vivado中选择“File” -> “Project” -> “New”,创建一个新的工程。 2. 添加设计文件:在工程中添加设计文件(Verilog或VHDL文件)。 3. 添加约束文件:在工程中添加约束文件(XDC文件)。 4. 打开仿真窗口:在左侧的“Flow Navigator”中选择“Simulation” -> “Run Simulation”. 5. 选择仿真文件:在仿真窗口中选择要仿真的文件,可以使用“Add Sources”按钮添加文件。 6. 设置仿真选项:在仿真窗口中,选择“Simulate Behavioral Model”,并设置仿真选项,如仿真时间、时钟周期等。 7. 生成仿真波形:在仿真窗口中,选择“Run Simulation” -> “Run Behavioral Simulation”,Vivado会自动编译设计文件,并生成仿真波形。 8. 进行仿真:在仿真波形窗口中,可以观察波形,并进行仿真验证。 需要注意的是,仿真文件的编写需要遵循Verilog或VHDL语法规范,并且需要与设计文件和约束文件相匹配。在编写仿真文件时,可以使用模块实例化、测试向量生成、时钟周期设置等技巧,以实现有效的仿真测试。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值