自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

乘风的博客

记录自己学习FPGA和linux的心得体会以及一些开源的小项目

  • 博客(36)
  • 资源 (2)
  • 收藏
  • 关注

原创 基于FPGA的CYUSB3014双向通信实验

文章目录开发环境准备工作驱动固件GPIF II Designer写标志读标志CyU3PGpifSocketConfigure设置水印值测试下载固件读测试写测试测速固件固化到CYUSB3014总结福利开发环境小梅哥AC6102开发板(内含CYUSB3014芯片)quartus prime17.1win10带usb3.0接口的电脑只要带CYUSB3014芯片的fpga开发板都可以参考本教...

2018-12-23 22:53:28 23024 20

原创 FPGA千兆网系列2-----UDP发送与接收

文章目录开发环境系统框图发送接收总结福利开发环境小梅哥AC6102开发板(内含RTL8211E千兆以太网phy芯片)quartus prime17.1win10千兆网卡 这个需要电脑支持,不支持就玩不了了udp协议介绍请参考我之前写的udp和arp本实例支持udp的发送与接收支持arp的发送和接收系统框图发送本实例支持发送3种包发送udp包,s3_in是外部按键,按...

2018-11-25 19:48:15 9672 8

原创 FPGA bug总结

FIFOwfifo_8x2k wfifo_8x2k_inst( .data (wfifo_wr_data ), .rdclk (wfifo_rclk ), .rdreq (...

2018-11-22 19:10:49 928 1

原创 Gvim再认识

做为一名FPGA或者数字芯片设计人员,掌握vim是十分必要的,vim是编写代码的神器,使用vim来编写RTL代码会极大提高我们的效率,通过一些模板和规则的制作,vim也会让我们的代码看起来更加完美漂亮,尤其是对齐方面。 我接触vim也有段时间了,对vim也比较熟悉,这里我要推荐一本关于vim的书籍----《vim的实用技巧》。看完这本书,我对vi...

2018-10-06 21:10:55 671

原创 基于FPGA的cy7c68013a双向通信实验

基于FPGA的cy7c68013a双向通信实验    本实验是基于FPGA的cy7c68013a的USB双向通信实验,以前折腾过一段时间cy7c68013a,没有入门时感觉好难,入门了就会感觉很简单。本教程主要内容:    1.cy7c68013a的固件编写,以及生成iic固件和下载固件。    2.cy7c68013a的slave模式,以及他的读写时序    3.cy7c6801...

2018-05-11 20:47:35 29327 23

原创 基于FPGA的贪吃蛇游戏

基于FPGA的贪吃蛇游戏软件环境:Quartus Prime 17.1 ,notepad++,gvim,modelsim-SE,TimeGen3硬件环境:DE2-115(Intel FPGA Cyclone IV,EP4CE115F29C7N)(板子不一样的童鞋,要注意修改芯片型号和绑定引脚,修改完后,先别急着修改程序,先重新编译并下载,看看有没有效果,不出意外的话是可以直接跑出游戏的...

2018-04-12 17:13:47 15657 55

原创 基于FPGA实现的流水灯项目

基于FPGA实现的流水灯实验  一、开发环境软件环境:Quartus Prime 17.1 ,notepad++,gvim,modelsim-SE,TimeGen3硬件环境:DE2-115(Intel FPGA Cyclone IV,EP4CE115F29C7N)二、实验目的1.掌握Quartus Prime 17.1的开发环境及FPGA的开发流程。2.熟悉开发板(...

2018-03-19 00:09:41 16278 1

原创 linux学习笔记

文章目录1. sourced 和 export1. sourced 和 exportexport修饰的变量在当前进程及其子进程中有效source执行过的脚本,会将脚本中定义的变量放在当前进程中

2019-08-31 21:59:06 584

原创 基于FPGA的局部自适应分割

目录局部自适应阈值分割原理算法转换FPGA结构设计子模块设计窗口缓存模块win_buf数据累加模块add_tree均值滤波模块设计福利局部自适应阈值分割原理全局阈值分割算法简单,对于双峰直方图图像有很好的分割效果。但对于图像噪声和光照不均匀性十分敏感。图6-1是图像的OTSU分割效果。可见,由于边缘光照不均匀性,造成边缘分割失败。图像边缘光线较暗的地方被分割为0,中间较亮的地方分割成功。如...

2019-06-30 00:55:07 2776 4

原创 贪吃蛇-VGA显示图片

文章目录说明VGA原理vga模块代码设计rom_start_ctrl模块代码设计C语言产生mif文件显示效果福利说明由于最近有很多同学对基于FPGA的贪吃蛇游戏很感兴趣,趁着最近有时间,特将之前贪吃蛇游戏重新设计一遍,并给出详细的设计步骤,方便大家学习!一共分2期,本期教程主要解决VGA显示图片问题,主要教大家如何写VGA驱动,如何用C语言生成mif文件。本教程还是需要有一定基础的,至少会绑定...

2019-06-02 18:40:54 5684 6

原创 Modelsim使用技巧总结

文章目录开发环境配置为白底黑字模式开发环境modelsim10.2c版本配置为白底黑字模式在写论文时,有时需要截图,为了看着清晰明了,最好是把modelsim设置为白色背景。如下所示:具体设置如下:(1) Tool -> Edit Preferences…(2) Wave WindowsWave Windows Color Scheme窗口内的变量设置如下:backg...

2019-05-26 18:46:23 3154 1

原创 FPGA形态学滤波设计

文章目录顶层框架设计子模块设计比较子模块(min_max)设计一维形态学腐蚀/膨胀子模块(morph_1d)设计二维形态学腐蚀/膨胀子模块(morph_2d)设计二维形态学开运算子模块(morph_open_2d)设计二维形态学Tophat变换模块(morph_tophat_2d)设计调试腐蚀效果图膨胀效果图开运算效果图tophat变换效果图福利顶层框架设计Tophat变换(白帽)实际上是原图...

2019-04-29 10:52:27 1267 1

原创 基于FPGA的中值滤波器设计

文章目录简介统计排序滤波基于FPGA的统计排序滤波器并行全比较排序法整体设计与模块划分median_1d 模块设计median_2d模块设计仿真与调试福利简介学习本教程需要一定的基础,主要参考<<基于FPGA的数字图像处理原理及应用>>的第八章,建议大家可以先看看书上的内容,尤其是一些理论推导,这里我就不详细展开了。为了简化开发难度,这里规定图像格式为6404808bi...

2019-04-22 22:09:54 2466

原创 FPGA线性滤波实现

文章目录简介均值滤波实现Sobel边缘检测实现福利简介FPGA数字图像处理系列终于迎来了第三更了,马上要开始写毕业论文了,这次的教程写的比较潦草,不过代码和上位机都是完整的,重点参考了《基于FPGA的数字图像处理原理及应用》的第七章,关于直方图均衡化的原理推到和设计思想可以重点看书,或者自己百度一下。直方图均衡化还是有点难的,需要有一定的基础。本教程与上期《系统仿真》中的规定有点区别,再次声...

2019-03-31 15:11:57 681 2

原创 visio使用技巧积累

文章目录屏蔽连接点自动吸附自动对齐辅助线屏蔽连接点自动吸附点击红色框内的“x”,就会进入连接点模式,在这种模式下,你可以看到所有的连接点,并且你移动箭头的时候,它将不会自动吸附,非常实用。自动对齐辅助线当你移动框时,visio会生成对齐线,方便我们与其他框对齐,如果不小心关闭了这个功能,可以通过下面的方法打开。...

2019-02-24 20:53:51 1405

原创 FPGA直方图均衡化

文章目录简介均衡化步骤系统框图直方图均衡化效果展示福利简介FPGA数字图像处理系列终于迎来了第二更了,马上要开始写毕业论文了,这次的教程写的比较潦草,不过代码和上位机都是完整的,重点参考了《基于FPGA的数字图像处理原理及应用》的第六章,关于直方图均衡化的原理推到和设计思想可以重点看书,或者自己百度一下。直方图均衡化还是有点难的,需要有一定的基础,本教程与上期《系统仿真》中的规定有点区别,再...

2019-02-18 16:22:26 3589 2

原创 Alter ram 2-port读写时序

目录ram 2-port ip设置读写时序ram 2-port ip设置读写时序双端口ram的两个端口的功能是一样的,都可以读写。写时序:写使能、写地址、写数据得对齐读时序:读使能、读地址得对齐,有效数据晚两拍出现...

2019-02-15 16:27:27 3627

原创 系统仿真

文章目录前言系统仿真最终效果福利前言以后的教程都会写出pdf文档供大家下载,方便查看,因为写文档比较费时间,然后又要写博客,重复性的工作,所以从现在开始,以后的博客都是简介版本,后面会给出pdf的下载方式。系统仿真最近博主在看《基于FPGA的数字图像处理原理及应用》这本书,准备入坑FPGA算法,但是看到第五章的时候,发现书里面讲解的有些繁琐,而且上位机源码没有提供,提供的verilog源码...

2019-01-19 20:46:38 1633

原创 FPGA编辑神器(gvim)

文章目录为什么要使用gvimgvim技巧已配置好的gvim命令说明福利为什么要使用gvim工欲善其事,必先利其器,做为一名FPGA或者数字芯片设计人员,gvim就是我们编写代码的神器,掌握vim是十分必要的,使用vim来编写RTL代码会极大提高我们的效率,通过一些模板和规则的制作,vim也会让我们的代码看起来更加完美漂亮,尤其是对齐方面。我接触vim也有段时间了,对vim也比较熟悉,...

2018-12-28 20:55:59 7569

原创 FPGA千兆网络摄像头

目录系统框图效果图最后说明系统框图由框图可以看,有3个地方是比较重要的。对外部时钟已经处理,因为千兆网时钟达到了125MHz,摄像头时钟达到了84MHz,系统时钟是50MHz,时钟域比较多,这里对外部50MHz的系统时钟进行了pll处理,对gmii_rx_clk也进行了处理,将其送到全局时钟网络上,然后跨时钟域的地方也要小心。因为摄像头的数据送到千兆网上时,时钟不一样,这里采用了异步fi...

2018-12-15 22:55:07 3044 3

原创 UDP介绍

目录UDP介绍UDP协议介绍UDP数据包格式IP协议介绍用户数据、 UDP、 IP、 MAC 四个报文的关系本篇是专门介绍UDP协议的,为后面的UDP实例做准备。UDP介绍UDP协议介绍UDP 是 User Datagram Protocol 的简称, 中文名是用户数据报协议,是 OSI(Open System Interconnection,开放式系统互联) 参考模型中一种无连接的传...

2018-11-25 16:39:43 44384

原创 异步复位,同步释放

目录异步复位,同步释放带PLL的异步复位,同步释放异步复位,同步释放//异步释放,同步复位reg [ 1: 0] rst_n_r ; always @(posedge clk or negedge s_rst_n)begin if(s_rst_n==1'b0)begin rs...

2018-11-24 12:10:01 350

原创 以太网原理

#欢迎使用Markdown编辑器你好!这是你第一次使用Markdown编辑器所展示的欢迎页。##新的改变我们队Markdown编辑器进行了一些功能拓展与语法支持,1.全新的界面设计2.再代码3.图像拖拽##功能快捷键撤销:Ctrl+Z...

2018-10-31 15:16:38 9633

原创 FPGA千兆网系列1-----ARP发送与接收(自动)

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Ma...

2018-10-31 15:04:34 6208 12

原创 fpga之计数器和状态机规范

一、计数器最关心的三个问题:1.初始值是多少复位值 每轮技术的初始值2.加1条件是什么3.结束条件即结束本轮技术的条件 一般又是加1条件,不要特地为结束而多统计一个数 记得要变回初始值计数器使用中的注意问题用于统计计数的,建议用自增计数器 用于不定时计数的(即每轮计数多少个不定),建议自减计数器 计数器一般从0开始计数,不要从非0开始。这样有助于检查计数器是否正确...

2018-10-28 21:41:43 4010

原创 基于FPGA实现OV5640摄像头的视频图像采集及VGA显示

        最近刚刚看完开源骚客的《揭秘摄像头视频采集系统》,里面留了个小bug,困扰了我很久,后面进过我认真的推算,发现是帧率计算错了,ov5640我配置的其实是30fps的,而vga配置了60fps了,由于他们的帧率不一致,导致了图像刷新会有断层现象。            assign cfg_array[266] = {8'h78, 16'h3808, 8...

2018-10-27 21:53:21 13671 14

原创 verilog实现格雷码与二进制的转化

verilog实现格雷码与二进制的转化:    1)自然二进制码转换为格雷码的方法        自然二进制码转换成二进制格雷码,其法则是保留自然二进制码的最高位作为格雷码的最高位,而次高位格雷码为二进制码的高位与次高    位相异或,而格雷码其余各位与次高位的求法相类似。         原理: 若二进制码表示为: B[N-1]B[N-2]...B[2]B[1]B[0];    ...

2018-09-27 18:59:52 11345 7

原创 安装系统,用cmd进行分区

步骤1:在“您想将Windows安装在何处”的界面按住“Shift+F10”,调出命令行窗口,输入diskpart并点击回车步骤2: 输入list disk点击回车,列出所有磁盘磁盘是从0开始排序的,一般计算机硬盘为磁盘0,U盘或者其他启动盘为磁盘1。(下图是例外,磁盘0是固态硬盘,重装系统前作为系统盘)步骤3: 输入select disk 0 点击回车,即选择你要进行分区的磁盘,一般是容量最大的...

2018-07-10 16:10:04 13465

原创 FPGA调试心得

FPGA调试心得一、下载到板子上却是没有反应        用modelsim仿真,看看功能是否正确,测试文件应该要尽可能多的考虑各种问题。建议下载到板子之前要先做功能仿真。二、当代码写完后,仿真通过后,下载到板子上却是没有反应,可以尝试以下方法        a)仔细的看看警告的信息,没有错误并不代表就是正确的,还要看看警告的信息,            1)对那些一直为低或者为高的要注意,看看...

2018-05-01 15:54:18 9397

原创 基于FPGA的数码管电子钟

基于FPGA的数码管电子钟一、系统框架图1.系统框图其中:alarm.v 主要是产生电子钟的秒、分、时以及闹钟的信号smg.v  主要是把alarm.v产生的信号通过数码管显示出来。是数码管的驱动模块led.v   闹钟到了,则ledg0闪烁debounce.v  用于key的消抖,支持快速单击,连按sw_debounce.v 用于sw的消抖,这个一定要消抖,否则可能会产生亚稳态,会出现奇怪的现象...

2018-04-25 18:29:20 3573 2

原创 基于FPGA的lcd1602闹钟

基于FPGA的lcd1602闹钟一、关于lcd的设置,以及命令格式见博主的另一篇转载的博客:https://blog.csdn.net/chengfengwenalan/article/details/80070058二、设计思路本例程主要是利用lcd实现了闹钟功能第一行显示Alarm:00-00       第二行显示Clock:00-00-00按下key1按键是进入adjust模式,按下key...

2018-04-24 20:55:20 2984

转载 LCD1602显示屏的驱动设置及例程

一般来说,LCD1602有16条引脚,据说还有14条引脚的,与16脚的相比缺少了背光电源A(15脚)和地线K(16脚)。我手里这块LCD1602的型号是HJ1602A,是绘晶科技公司的产品,它有16条引脚。如图1所示:图1再来一张它的背面的,如图2所示:图2它的16条引脚定义如下:引脚号符号引脚说明引脚号符号引脚说明1VSS电源地9D2数据端口2VDD电源正极10D3数据端口3VO偏压信号11D4...

2018-04-24 20:24:53 4275

原创 基于FPGA的分频设计

基于FPGA的分频设计1.偶数倍分频    偶数倍分频器的实现非常简单,只需要一个计数器进行计数就能实现。如需要N分频器(N为偶数),就可以由待分频的时钟触发计数器进行计数,当计数器从0计数到N/2-1时,将输出时钟进行翻转,并给计数器一个复位信号,以使下一个时钟开始从零计数。以此循环,就可以实现偶数倍分频。以10分频为例,相应的verilog代码如下:/*********************...

2018-04-13 12:10:14 5489 1

原创 FPGA笔记

1、当时序违例是,最优先的方法是优化设计。2、流水线是FPGA 设计的重点技巧,务必熟练掌握。3、a*b=a*b[0]+(a&lt;&lt;1)*b[1]+(a&lt;&lt;2)*b[2]+(a&lt;&lt;3)*b[3] = a*b[0]+{a,1'b0}*b[1]+{a,2'b0}*b[2]+{a,3'b0}*b[3]=(b[0]?a:0)+(b[1]?{a,1'b0}:0...

2018-04-12 18:30:27 406

原创 基于DE2-115 FPGA开发板的VGA显示

基于DE2-115 FPGA开发板的VGA显示        显示器扫描方式分为逐行扫描和隔行扫描:逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。隔行扫描是指电子束扫描...

2018-04-08 20:44:50 7717

原创 基于DE2-115红外解码实验

基于DE2-115红外解码实验 一、   功能描述在同一个遥控电路中通常要使用实现不同的遥控功能或区分不同的机器类型, 这样就要求信号按一定的编码传送,编码则会由编码芯片或电路完成。对应于编码芯片通常会有相配对的解码芯片或包含解码模块的应用芯片。在实际的产品设计或业余电子制作中,编码芯片并一定能完成我们要求的功能,这时我们就需要了解所使用的编码芯片到底是如何编码的。只有知道编码方式,我...

2018-04-04 14:19:35 2181 2

双端口ram读写时序源码

本资源接收了Alter的双端口ram的读写时序,并给出了实例,详细介绍链接:https://blog.csdn.net/chengfengwenalan/article/details/87369141

2019-02-15

基于FPGA的分频器设计

分频源码与modelsim模板视频演示,里面有详细的奇偶分频源码,以及modelsim模板和相应的视频演示

2018-04-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除