系统仿真

前言

以后的教程都会写出pdf文档供大家下载,方便查看,因为写文档比较费时间,然后又要写博客,重复性的工作,所以从现在开始,以后的博客都是简介版本,后面会给出pdf的下载方式。

系统仿真

最近博主在看《基于FPGA的数字图像处理原理及应用》这本书,准备入坑FPGA算法,但是看到第五章的时候,发现书里面讲解的有些繁琐,而且上位机源码没有提供,提供的verilog源码也不是很全,导致我花费了不少时间,毕竟我们是主要学习fpga的,但是这个又需要有个上位机,所以我自学了一下QT,按照书上说的功能,仿制了一个跟他一样功能的上位机,效果还不错,特此分享给大家。为了让大家少走一下弯路,能够快速上手,从现在开始,准备写一套FPGA数字图像处理实战的verilog教程。本教程分为6个部分,分别为:

  • 系统仿真
  • 直方图操作
  • 线性滤波器
  • 非线性滤波器
  • 形态学滤波
  • 图像分割

这6个部分对应这那本书的第五章到第10章。本次实例主要讲解了:

  • 如何将bmp图像转成txt文件
  • 如何模拟视频时序
  • 如何捕获视频
  • 如何将txt文件转成bmp图片并将其用上位机显示

最终效果

在这里插入图片描述

福利

具体的详细介绍我已经写在pdf文档中了,就不再重复码子了:
在这里插入图片描述
为了能及时回复大家,现在获取源码方式如下:
微信扫描下面的二维码关注【春哥笔记】公众号,回复“系统仿真”即可Get源码的获取方式:
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值