verilog——奇偶校验位

#奇偶校验位
module parity(bus,odd,even);

input [7:0] bus;
output odd;
output even;
assign odd = ^bus;
assign even = ~odd;

endmodule 
#模10加法计数器
module count10(cout,qout,rst_n,clk);

input clk,rst_n;
output reg [3:0] qout;
output cout;

always @ (posedge clk or negedge rst_n)
begin
    if(!rst_n)
        qout <= 0;
    else
        if(qout < 9)
            qout <= qput + 1;
        else
            qout <= 0;
end
assign cout = (qout == 9) ? 1:0;

endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值