modelsim如何利用批处理功能自动仿真

1、利用quartus调用modelsim

2、在modelsim中添加自己感兴趣的模块信号。

3、设置显示格式

4、保存波形设置

5、编写属于自己的.do文件

cd	E:/altera/led_test/sim
do E:/altera/led/sim/led.tcl

6、编写自己的tcl文件。

quit -sim
transcript on
if {[file exists rtl_work]} {
	vdel -lib rtl_work -all
}
vlib rtl_work
vmap work rtl_work

vlog -vlog01compat -work work +incdir+E:/altera/modelsim_led/rtl {E:/altera/modelsim_led/rtl/led.v}

vlog -vlog01compat -work work +incdir+E:/altera/modelsim_led/par/../rtl {E:/altera/modelsim_led/par/../rtl/led_flow.v}

vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -voptargs="+acc"  led_flow

add wave *
view structure
view signals




# transcript on
# if {[file exists work]} {
	# vdel -lib work -all
# }
# vlib work
# vmap work work
# vlog -novopt -incr -work work "../tb/led_flow.v"
# vlog -novopt -incr -work work "../src/led.v"

# vsim -t 1ns   work.led_flow  
# add wave *
# view structure
# view signals


add wave -noupdate /led_flow/CLOCK_50M
add wave -noupdate /led_flow/RST_N
add wave -noupdate -radix unsigned /led_flow/LED
add wave -noupdate /led_flow/RST_n
add wave -noupdate /led_flow/led_inst/clk_50M
add wave -noupdate /led_flow/led_inst/reset_n
add wave -noupdate -radix unsigned /led_flow/led_inst/led
add wave -noupdate -radix unsigned /led_flow/led_inst/counter
add wave -noupdate -radix unsigned /led_flow/led_inst/state
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
quietly wave cursor active 0
configure wave -namecolwidth 198
configure wave -valuecolwidth 100
configure wave -justifyvalue left
configure wave -signalnamewidth 0
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {7232258575 ps} {19785460075 ps}
run -all

利用自动调用modelsim生成的.do文件和第4步保存的波形文件。直接将两个文件里的内容复制到一个文本文件里,然后将文本文件另存为.tcl文件。

7、利用批处理文件,自动执行仿真操作。

在文本文件里进行编写,然后保存为.bat格式。

modelsim	-do my_sim.do
或者

set bin_path=C:\\altera\15.0\modelsim_ase\win32aloem
call %bin_path%/vsim   -do "do {my_photon_counter.do}" -l simulate.log
if "%errorlevel%"=="1" goto END
if "%errorlevel%"=="0" goto SUCCESS
:END
exit 1
:SUCCESS
exit 0

8、双击批处理文件,modelsim会自动运行并自动添加所需观测的信号。

9、附件。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值