生成脉冲模块-clk_500ms

原理是将板载时钟进行改变。
module timer_500ms(input clk,output reg clk_500ms);
reg [24:0] cnt;//要表示的最大的数是12500500
initial begin//initial语句:此语句只执行一次。
cnt [24:0] <=0;//<=是非阻塞性赋值
clk_500ms <= 0;
end
always@(posedge clk)//上升沿触发循环,板载时钟是50MHz
if(cnt>=12_500_000) begin//忽略下划线,12_500_000/50MHZ=0.25s
cnt<=0;
clk_500ms <= ~clk_500ms;//0.25S发生一次变化,产生脉冲信号
end
else begin
     cnt<=cnt+1;
end
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值