在systemVerilog的task中等效always块

我们知道在task中是不能直接使用always块语句的,那么该如何在task中实现always的功能呢?

下面分时序逻辑和组合逻辑两种情况分别说明。

1.时序逻辑

如下面代码所示:每一个forever begin-end之间相当于一个always块。fork join保证了所有的always之间的并行运行。

task A();
    fork
        forever begin
            @ (posedge clk);
            if(xxxx)
                a <= # DLY b;
            else
                a <= # DLY c;
        end

        forever begin
            @ (posedge clk);
            if(xxxx)
                d <= # DLY b;
            else
                d <= # DLY c;
        end

    join
endtask

2.组合逻辑

如下面代码所示:每一个forever begin-end之间相当于一个always块。fork join保证了所有的always之间的并行运行。区别于always @(*) 这里面是无法使用 @(*)的只能将所有因变信号放到敏感列表之中。

task A();
    fork
        forever begin
            @ (cond or b or c);
            if(cond)
                a = b;
            else
                a = c;  
        end

        forever begin
            @ (cond or b or c);
            if(cond)
                d <= # DLY b;
            else
                d <= # DLY c;
        end

    join
endtask

  • 3
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值