异步fifo 读写时钟差别太大问题 (首先这个设计是不合理的)

异步FIFO读时钟是写时钟的100倍,或者写是读的100倍会出现什么问题?

读写指针的同步setup/hold会有问题,系统根本不应该出现这么大频率比的两个异步时钟域。

假设timing上没有问题,在功能上我认为也会出问题,假设读时钟特别快,读地址指针也在快速变化,那么同步到写时钟的指针有可能是随机错误,而不是格雷码的1bit错误(因为变换太快timing不满足在读时钟域看到的就是多个bit在同时跳变)

该问题的讨论可以参考:

今天华为面试题:异步FIFO读时钟是写时钟的100倍,或者写是读的100倍会出现什么问题?

  • 3
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
module AsyncClockFifo( input wire clk, input wire rst, input wire wr, input wire rd, input wire [DATA_WIDTH-1:0] data_in, output reg [DATA_WIDTH-1:0] data_out ); parameter DEPTH = 16; // FIFO深度 parameter DATA_WIDTH = 8; // 数据位宽 reg [DATA_WIDTH-1:0] fifo [0:DEPTH-1]; reg [4:0] wr_ptr; reg [4:0] rd_ptr; reg [4:0] count; always @(posedge clk or posedge rst) begin if (rst) begin wr_ptr <= 0; rd_ptr <= 0; count <= 0; end else begin if (wr && !rd && count < DEPTH) begin fifo[wr_ptr] <= data_in; wr_ptr <= wr_ptr + 1; count <= count + 1; end if (!wr && rd && count > 0) begin data_out <= fifo[rd_ptr]; rd_ptr <= rd_ptr + 1; count <= count - 1; end end end endmodule ``` 这是一个使用 Verilog 编写的简单的异步时钟 FIFO,它有以下端口: - `clk`:时钟输入 - `rst`:复位输入 - `wr`:写使能输入 - `rd`:读使能输入 - `data_in`:数据输入 - `data_out`:数据输出 参数 `DEPTH` 表示 FIFO 的深度,参数 `DATA_WIDTH` 表示数据位宽。 在时钟上升沿或复位上升沿触发的始终块中,根据写使能和读使能的状态,以及 FIFO 的当前状态,进行数据的写入和读取操作。同时,维护写指针 `wr_ptr`、读指针 `rd_ptr` 和当前 FIFO 中的数据个数 `count`。 这里使用了一个简单的数组实现 FIFO,并通过指针进行读写操作。当写使能有效且读使能无效且 FIFO 未满时,将输入数据写入到指定位置,并增加写指针和数据个数。当写使能无效且读使能有效且 FIFO 非空时,从指定位置读取数据并输出,并增加读指针和减少数据个数。 请注意,这只是一个简单的示例,实际应用中可能需要添加更多的控制逻辑和状态机以确保正确的读写操作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值