电平触发的D触发器 Verilog

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。
在这里插入图片描述
真值表:在这里插入图片描述
在这里插入图片描述
特征方差程:在这里插入图片描述
verilog代码:

module d(clk,d,q);
input d,clk;
output q;
reg q;

always @(d,clk)
  if(clk)
    q <= d;
endmodule

测试程序:

`timescale 1 ns/1ps
module d_tb;
reg D,CLK;
wire Q;
d Df(CLK,D,Q);
always #10 CLK=~CLK;
initial
 begin
  D=1'b0;CLK=1'b0;
  #10 D=1'b1;
  #15 D=1'b0;
  #20 D=1'b1;
  #25 D=1'b0;
  #20
  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值