D触发器(Verilog)

这是第一篇用Verilog的文章
沿正边沿触发的触发器,包括使能端en

Verilog描述如下

module d_ff(clk, in, en, out);
input clk, in, en;
output reg out;
always @(posedge clk)
if(!en)
out <= 0;
else
out <= in;
endmodule

仿真如下

module d_ff_sim();
reg clk, en, in;
wire out;

d_ff u(clk, in, en, out); //这里一定要记着实例化
initial begin
clk = 1; en = 1;
//#10 clk = 1;
#10 en = 1;
#10 in = 1;
#10 in = 0;
#10 en = 0;
end
always  //用always语句来模拟时钟变化
//begin
    #5 clk = ~clk;
//end

endmodule

仿真图像
可以看出D触发器就是当时钟上升沿来临时,输出数据的值是此刻输入数据的值,并一直保持到下一个上升沿来临。

  • 11
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值