20201124 fpga程序的下载

文章信息来自原子哥fpga视频

1代码写完之后 保存之后

2 分析与综合按钮

3 双击项目名称选择芯片信号等操作(选择芯片信号 配置io功能为普通功能)

4 选择pin_planner 出现引脚配置对话框 进行硬件配置

5 引脚配置完成之后直接关闭 然后点击编译按钮进行全编译

6 编译无问题进行程序下载 点击programmer 按钮进行

7 在弹出的下载对话框中选择下载器和下载位置

下载成功


这样就把程序下载到了内存中了

不过断电就会消失 所需需要下载到rom存储器中

下载选择如下

7.1 转化文件

7.2 选择芯片型号

7.2 选择sof文件

8 成功生成jic文件之后 关闭对话框 重新点击programmer按钮 下载程序

8.1 在下载对话框中先上车sof文件

8.2 然后在添加jic文件 并选中它 然后进行下载

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
EMIF(外部存储器接口)是一种用于FPGA(现场可编程门阵列)的程序FPGA是一种可编程逻辑器件,它可以根据用户的需求进行重新编程,用来实现各种不同的功能。 EMIF程序是用来配置FPGA与外部存储器之间的通信接口。外部存储器可以是RAM(随机访问存储器)或者是Flash(闪存),这些存储器可以用于存储数据或者指令。 EMIF程序包括配置FPGA的时序,数据位宽,地址位宽等参数的设置。其中,时序设置是非常重要的,因为它确定了数据在存储器和FPGA之间传输的速度。数据位宽和地址位宽决定了FPGA可以使用的数据和地址的长度。 编写EMIF程序需要先了解外部存储器和FPGA的硬件接口规格,然后使用硬件描述语言(如Verilog或VHDL)编写代码。代码中会包括时序控制和信号传输的逻辑。 在编写完EMIF程序之后,需要使用特定的软件工具将程序烧录到FPGA中。烧录完成后,FPGA就能够与外部存储器进行通信了。 EMIF程序的编写和调试需要一定的硬件和软件知识,同时也需要仔细阅读FPGA和外部存储器的技术手册。如果程序出现问题,可以通过逐步调试和波形查看等方法来进行排查和修复。 总结来说,EMIF程序是用于配置FPGA与外部存储器之间通信接口的程序,通过设置时序、数据位宽和地址位宽等参数,实现FPGA与外部存储器之间的数据和指令传输。编写EMIF程序需要硬件和软件知识,并且需要仔细阅读技术手册进行调试和修复。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值