出租车计价器计费器Quartus

名称:出租车计价器计费器(代码在文末付费下载)

软件:Quartus

语言:VHDL+Verilog的2套工程代码均有

FPGA代码资源网:hdlcode.com

代码下载地址:出租车计价器计费器_Verilog/VHDL资源下载

使用VHDL设计出租车计费器,要求如下: ↵

1. 费用按行驶里程收费,设出租车起价为5元,当里程小于4Km 时,按 起价收费;当里程大于4Km 时,按每公里1元计费(超出里程取上界); 当中间等待时间大于5分钟时,按每分钟1元计费。 ↵

2. 总价,路程实时显示于数码管。

本代码已在开发板验证,开发板原理图如下:

SF-CY4+开发板原理图+Ver4.0.pdf

设计文档(文档点击可下载):

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 管脚分配

6. Testbench

7. 仿真图

整体仿真图

起步价5元,超过4公里后,1公里1元

中途停车等待,每5分钟,按1分钟1元

继续行驶,一公里一元

继续行驶,一公里一元

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值