VCS建立仿真生成DVE波形

一、环境与文件

Linux平台  csh环境
VCS 64bit
代码文件请参考《一个简单的Verilog计数器模型》

二、开始仿真

1、compiler

首先在testbench中加入如下语句:

initial begin
$vcdpluson;
end

命令调用vcs

vcs -full64 -f file.f -debug_pp +vcd+vcdpluson

参数解释

file.f 是你的设计文件索引

  save image

+vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成名为vcdpluson.vpd的文件

3、使用dve查看波形

dve –vpd vcdpluson.vpd

  • 7
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值