verilog +:,-:的理解

转载:https://blog.csdn.net/SLAM_masterFei/article/details/104896258

一般而言对于类似多位输出赋值,一般而言是这样的:

wire a [MSB:LSB];
assign a=b[MSB:LSB];

这里的MSB 和LSB 都需要是常数而不能是变量,那么现实中很有可能需要对变量来进行操作,比如多路选择器等等,那么就可以用到 -:和+:操作符了,具体用法如下:

module top_module( 
    input [1023:0] in,
    input [7:0] sel,
    output [3:0] out );
 
    assign out=in[sel*4+3 -:4];
endmodule

对该语法的理解可以参照:

a=[15-:8]==[15:8]
a=[0+:8]==[7:0]

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值