Fsm serialdata

Now that you have a finite state machine that can identify when bytes are correctly received in a serial bitstream, add a datapath that will output the correctly-received data byte. out_byte needs to be valid when done is 1, and is don't-care otherwise.

Note that the serial protocol sends the least significant bit first.

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output [7:0] out_byte,
    output done
); //
	parameter IDLE = 3'b000,
    		START = 3'b001,
    			TRANS = 3'b011,
    			END = 3'b010,
    			ERR = 3'b110;
    
    reg [2:0] state, next_state;
    reg [3:0] cnt_data; 
    
    // Use FSM from Fsm_serial
    always@ (*)
        case (state)
            IDLE:
                if(~in)
                	next_state <= START;
            	else
                    next_state <= IDLE;
            START:
                next_state <= TRANS;
            TRANS:
                if(cnt_data == 4'd8) begin
                    if(in)
                        next_state <= END;
                    else
                        next_state <= ERR;
                end
            	else
                	next_state <= TRANS;
            END:
                if(in)
                    next_state <= IDLE;
            	else
                    next_state <= START;
            ERR:
                if(in)
                    next_state <= IDLE;
            	else
                	next_state <= ERR;
            default:
                next_state <= IDLE;
        endcase
    	
    always@ (posedge clk)
        if(reset)
            state <= IDLE;
    	else 
            state <= next_state;
    
    always@ (posedge clk)
        if(reset)
			cnt_data <= 4'b0;
    else if(next_state == START)
        	cnt_data <= 4'b0;
    else if(next_state == TRANS)
        	cnt_data <= cnt_data + 1'd1;
    else 
        cnt_data <= cnt_data;
     
    always@ (posedge clk)
        if(reset)
            done <= 0;
    else if(next_state ==  END)
             done <= 1;
    else
    	done <= 0;
	
    always@ (posedge clk)
        if(reset)
    		out_byte <= 8'd0; 
    else if(next_state == IDLE)
        out_byte <= 8'd0;
    else if(next_state == TRANS  )
        out_byte <= {in,out_byte[7:1]};
    else 
        out_byte <= out_byte;
        
endmodule

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

eachanm

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值