Verilog语言乒乓球机8段译码器

该博客介绍了使用Verilog HDL设计的乒乓球游戏电路,包括8个LED显示球的位置,玩家通过按钮击球,计分显示模块采用数码管显示局数和分数,并具有自动记分和发球权切换功能。设计中进行了功能仿真和逻辑综合,确保设计的正确性。
摘要由CSDN通过智能技术生成

设计一个由甲、乙双方参赛,有裁判的 3 人乒乓球游戏机。
( 2 ) 用 8 个 LED 排成一条直线,以中点为界,两边各代表参赛双方的位置其中一只点亮 LE 指示球的当前位置,点亮 LE 依此从左到右,或从右到左其移动的速度应能调节
当“球点亮的那 LED 运动到某方的最后一位时,参赛者应能果地按下位于自己 一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方移动;若未击中,则对方分
一方得分时,电路自动响秒,这期间发球无效,等铃声停止后能继续比赛
设置自动记分电路,甲、乙双方各位数码管进行记分显示,每 2 分局
甲、乙双方各设一个发光二极管,表示拥有发球权,每次自动换发球权,拥有发球权的一方发 球才有效
按《湖南涉外经济学院课程设计管理办法》要求提交课程设计报告
工作内容及时间进度安
1 周
1–3 :立题、论证方案设
4–5 :预答
1 周
1–3 :仿真实 7
4–5 :验收答
课程设计成
.与设计内容对应的软件程
.课程设计总结报
摘要
乒乓球游戏电路是一个对输入信号、输入时机正确与否的 16 个 LED 表示乒乓球球台和乒乓球,用数码管模拟显示器,显示比赛局数比分和每局玩家得分的电路。电路并不复杂,整体分为两个模表示球和球台并对玩家是否击球、是 LED 块:一,游戏主模块;二,计分显示模块。主模块完成用.
否犯规做出判断,并且将两位玩家的游戏得分进行输出。计分显示模块完成对局数比分的运算,显示局数比分和每局游戏玩家的得分。实现

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值