FPGA笔记

FPGA

FPGA工具


FPGA标志参数

参数描述
Tclk->q = 1ns;寄存器延时
Thold = 1ns;保持时间
Tsetup = 1ns;建立时间

FPGA 电路

  1. 结构:查找表LUT,本质是RAM。将电路所有的结果写到RAM中去,然后根据输入查表来给出输出。而CPLD是基于E2PROM来实现的,掉电程序不会丢失。

  2. Latch //锁存器,电平触发。危害:电平触发非同步设计受布线延迟影响较大,可能会有毛刺,另外让时序分析变得复杂。原因:不是看else是否补全,而是组合逻辑电路有保持电路,q = q。

  3. Flip-flop; // 芯片设计中特指D触发器

  4. 回环电路。组合逻辑电路是不可以有回环的,举例加法器,会无限制的加和振荡,值不能确定。

  5. FPGA 毛刺产生的原因,主要在于组合逻辑电路各路信号到达时间不一致,导致计算的信号并不是最终的信号,输出端立即输出的信号会有毛刺出现。时序逻辑电路这样的问题出现的概率会比较小,受时钟偏移skew的影响可能会有。
    在这里插入图片描述

  6. Clock Skew ; // 时钟偏移 ,同一时钟产生的多个子系统之间的信号差异。原因在于硬件结构,分为FPGA内部和PCB外部走线。图见CSDN。

  7. Clock jitter; // 时钟抖动。两个时钟周期的不同,原因在于时钟晶振的不稳定。图见CSDN收藏。

CDC(跨时钟域 clock domain crossing)

本质:两个时钟的相位不协调。
解决办法:尽可能使用同步电路,来满足建立时间和保持时间的要求,规避问题。
可能导致的结果:分为组合逻辑电路,和时序电路两种电路,三个情况。

  • glitch(毛刺)

    • 原因,组合逻辑电路本身容易产生glitch,跨时钟域异步采样会放大组合逻辑的缺点,采样到毛刺,产生误操作,同步电路影响不大,只要在毛刺稳定之后就可以采样。
    • 解决办法:跨时钟域时,DFF输出,DFF输入,避免组合逻辑电路。
      glitch
  • Metastability亚稳态问题:

    • 本质:采集到信号边沿电平,而信号边沿是未稳定逐渐上升的的电平。
    • 解决办法:多达一拍或几拍,保证采集到正确的信号。
  • convergence:同步电路稳态信号随机时钟偏移导致的问题。解决办法:格雷码,让单个信号变化。
    在这里插入图片描述

  • reset 的同步释放。要保证复位信号异步输入,同步释放。
    检查的三个步骤,1、结构性检查,即有没有做打拍或者异步处理。2、时序检查,快时间域信号要满足低时间域的采集条件。3、Jitter 检查,增加Jitter,看后端的鲁棒性是否满足。

  1. 亚稳态由同步器来消除的,跨时钟域的地方,加足够的保护。****是异步处理,主要有两类四种方式,单片机的处理方式,1、增加控制信号,使能状态下数据信号不许发生跳变,2、增加握手信号(ack)。FPGA的处理方式,1、两次或多次打拍,,让边沿信号稳定。2、FIFO。

  2. 竞争和冒险?

  3. 同步复位和异步复位

  • 差别:代码方面敏感列表是否有reset_n信号,有的是异步复位,没有的是同步复位。异步复位,只要有复位信号来,就立即复位。
always @(poseage clk or negedge rst_n) // 异步,复位信号不受时钟影响
always @(poseage clk)  // 同步
  • 应用场景:同一个时钟域中,稳定电路模块内部电路,用同步;不稳定电路,用异步,主要是接口电路。
  1. FIFO:使用场景是不同时钟域之间的数据传输,或者是不同数据位宽的数据匹配。

时钟周期约束?

Veribog 语法

  1. wire、reg型:always中都是reg 型,之外的都是wire.

  2. 从硬件角度出发,即具有真正现实意义的电路图出发,而不是仅仅从语法的角度能满足就可以了。

  3. 输出端要D触发器(<=,有时钟),不要组合逻辑(=)。

  4. 4段状态机,学习一下状态转移部分。

  5. 阻塞和非阻塞赋值,通常应用场景中,是组合逻辑电路都是阻塞,时序逻辑电路都是非阻塞,只要规定好了就行。阻塞是顺序执行,类似单片机。非阻塞是同时执行,更能发挥FPGA 的能力。

  6. 小数点不用表示,约定哪些位是整数,哪些位是小数即可。

  7. 完全综合,将所有的情况都展开。

  8. 有效值设定
    8.条件代码

Assign add_cnt = vld_i;
Assign end_cnt = add_cnt  && cnt == 188- 1;  // 有效值判断
Assign rden = add_cnt && cnt == 4-1;  // 有效值判断
  1. for循环仅仅是复制代码的作用,不要乱用。用来例化模块。
  2. x和z,x是不关心,在条件判断语句中不判断,是语法层面的。Z是高祖态,只用于管脚而不用于FPGA内部电路。
  3. if 和 case 很相似,但是本质上是差别的。if 是级联的,如果有多个elsif ,那么就是多个选择器级联,是有优先级的。而case 语句是没有优先级的。实际速度有差别,但是差别极小。

算法

  1. 跨时钟域处理,单bit 信号,多次打拍处理,要求高频率信号域要保持多个拍,保证低时钟域能够采到。multibits信号,通常会FIFO处理。
  2. 序列规律和块的对应,一个规律对应一个always块。看起来更清楚。例见明德扬09090003.
  3. 最大频率,计算关键路径(最大延时路径)。没怎么听明白clock skew。例见明德扬09090004.
  4. 不一定是代码越少,硬件就越少。语言终归还是要归到硬件上。
  5. 测试点,要在写代码的时候就要记录下来。特殊数字,最大数、最小数,0,边界值,会不会发生溢出或者其他错误。
  6. 参数化设计:本质在于能否找到规律,如果有规律,将规律用参数表示。参考明德扬课程面试题目篇连续例化。
  7. 多项选择,本质是两输入选择器,然后组合起来形成多输入选择。
    在这里插入图片描述
  8. verilog 实现crc 计算。???

硬件资源优化

  1. 使用的乘法移位操作来代替。比如乘2的话就是向左移两位。即使不是整数,也可以以用移位和加减法来实现。现在的综合器已经足够智能,能够自动做出转化,所以常数的乘法可以直接写。
	assign b = a*127;
	assign b = (a<<8) - a;	// 左移8位相当于乘128,然后再减去一个a;

但是如果是信号,那么尽量将信号的乘法转化为2的N次方。
a0.1231024/1024,这时除法只需要做右移就可以。
同时除法也要尽量避免,它的资源消耗大于乘法。如果必须使用,尽量使用2的N次方来移位操作。
2.

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
作为一名FPGA工程师,你可以通过以下方法来进行学习和实践: 1. 首先,熟悉FPGA的基础语法和概念。这包括了理解数字电路和信号处理的基础知识,以及了解FPGA代码的规范写法。你可以参考引用中提到的方法,先学习基础语法,再扎实相关知识。 2. 掌握不同的FPGA设计方式。除了使用原理图设计方式,你还可以尝试使用描述语言(如Verilog)进行设计。Verilog已经在FPGA开发和IC设计领域占据了领导地位,可以更好地满足实际项目的需求。你可以参考引用中的内容,了解Verilog的基础知识和逻辑电路的表示方式。 3. 在实践中提高设计能力。通过实际的项目设计和实现,你可以进一步提高自己的FPGA工程能力。尝试使用不同的开发工具,熟悉开发流程和操作。在实践中,你可以学习解决实际问题的方法和技巧,提高自己的设计能力。 4. 注意资源的合理使用。在FPGA设计中,要慎用%和/运算符,因为它们可能会消耗大量的资源。如果你的FPGA有内嵌的硬件乘法器或者除法器,可以使用它们来代替%,/运算符。而对于乘或除2的倍数次的操作,可以使用位移运算符来代替,这样可以减少资源的消耗。参考引用中的内容了解更多关于资源消耗的注意事项。 总结起来,作为一名FPGA工程师,你需要熟悉FPGA的基础语法和概念,掌握不同的设计方式,通过实践不断提升自己的设计能力,并注意资源的合理使用。这样,你将能够更好地进行FPGA工程师的学习和实践。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值