113,完结!Verilog-2005标准篇:数学函数(Math functions)

数学函数有整数和实数两种,数学系统函数可用于常量表达式!例如:

系统函数 $clog2 将返回函数参数基2对数的上限,参数可以是整数或任意大小的向量值。参数应视为无符号值,参数值为 0 时,结果为 0。在实际应用中,该系统函数特别适合计算寻址给定大小的内存所需的最小地址宽度,或计算表示给定状态数所需的最小向量宽度。

下表1中的系统函数应接受实数参数并返回实数结果,它们的行为应与所示的 C 语言标准数学库函数相匹配:

表1:Verilog至C语言实数函数映射列表

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起系统学习verilog最终标准IEEE Std 1364-2005吧!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值