【IEEE_Verilog-17.11】Verilog中的数学函数

17.11 Math functions

有整数和实数的数学函数。数学系统函数可以在常量表达式中使用,如第5条所述。

17.11.1 Integer math functions

例如:

integer result;
result = $clog2(n);

系统函数$clog2将返回参数以2为底的对数的上限(log向上圆整为一个整数值)。参数可以是整数或任意大小的向量值。参数将被视为无符号值,参数值为0的结果将为0。
这个系统函数可以用来计算为给定大小的内存寻址所需的最小地址宽度或给定数量的状态所需的最小向量的宽度。

17.11.2 Real math functions

表17-18中的系统函数应接受实数参数并返回实数结果。它们的行为应与相同的C语言标准数学库函数相匹配。

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值