用Verilog编写同步RAM

本文转载自泡面狂的博客的博客:http://blog.sina.com.cn/s/blog_79ce0d8f0101g0n1.html

在FPGA设计实现中,经常要用到RAM,这里的RAM一般指的是是静态的RAM。一般FPGA(如xilinx)中就有所谓的block RAM, 它就是现成的RAM资源,我们如果合理编写verilog代码,就可以使我们想要的RAM被综合成block RAM,从而节省逻辑资源,而且性能更优。

下面是一个单时钟同步RAM的模板:

代码已在quartus中验证

    module ram_X(
        //input:
        clk,
        wen,
        din,
        waddr,
        raddr,
        //output:
        dout
        );

        parameter   DWIDTH = 8; //数据宽度,请根据实际情况修改
        parameter   AWIDTH = 10; //地址宽度,请根据实际情况修改

        input clk;
        input wen;
        input [DWIDTH-1:0] din;
        input [AWIDTH-1:0] waddr;
        input   [AWIDTH-1:0] raddr;
        output [DWIDTH-1:0] dout; 

        reg [DWIDTH-1:0] RAM [2**AWIDTH-1:0];
        reg [AWIDTH-1:0] raddr_reg;

        always @ (posedge clk)
        begin
            if(wen) 
                begin
                RAM[waddr] <= din;
            end
        end

        always @ (posedge clk)
        begin
            raddr_reg <= raddr;
        end

        assign dout = RAM[raddr_reg];
    endmodule
	 

 

总结:

上面代码从功能上描述了一个单时钟双端口同步RAM的编写方法,在ISE和quartus中都能通过综合,被映射到实际的block RAM资源中。

假设你的RAM是一个双端口RAM,一个端口用于写入数据,另一个端口用于读取数据。以下是一个简的例子,展示如何使用Verilog写入一段数据到RAM中,并从RAM中读取数据。 首先,我们需要定义RAM的大小和宽度。这里我们定义一个大小为8x8的RAM,每个地址存储一个8位的数据。 ``` `timescale 1ns / 1ps module ram_example ( input clk, input en, input [2:0] addr, input [7:0] write_data, output [7:0] read_data ); parameter WIDTH = 8; // 数据位宽 parameter DEPTH = 8; // 内存深度 parameter ADDR_W = 3; // 地址位宽 reg [WIDTH-1:0] mem [0:DEPTH-1]; // 读端口 assign read_data = mem[addr]; // 写端口 always @(posedge clk) begin if (en) begin mem[addr] <= write_data; end end endmodule ``` 在这个例子中,我们使用了一个非常简同步RAM实现,它有两个端口:`read_data`和`write_data`。读端口通过`addr`输入地址,输出`read_data`对应的数据。写端口通过`en`输入使能信号和`addr`输入地址,将`write_data`写入到指定的RAM地址中。在这个例子中,我们使用了一个简的时序组合逻辑,等待时钟上升沿,并在使能信号有效时写入数据。 为了测试我们的RAM,我们可以编写一个简的测试程序,向RAM中写入一段数据,并从中读取数据,以验证RAM是否按预期工作。 ``` module ram_example_tb; reg clk; reg en; reg [2:0] addr; reg [7:0] write_data; wire [7:0] read_data; // 实例化我们的RAM ram_example dut ( .clk (clk), .en (en), .addr (addr), .write_data (write_data), .read_data (read_data) ); initial begin clk = 0; en = 1; addr = 0; write_data = 8'hAA; // 写入数据 #10; en = 0; #10; en = 1; addr = 1; write_data = 8'h55; // 写入数据 #10; en = 0; #10; $finish; end always #5 clk = ~clk; endmodule ``` 在这个测试程序中,我们首先将`en`信号设置为1,然后将`addr`设置为0,并将`write_data`设置为0xAA。我们等待10个时钟周期,然后将`en`信号设置为0,表示写入结束。然后,我们设置`en`信号为1,将`addr`设置为1,并将`write_data`设置为0x55。我们再次等待10个时钟周期,然后将`en`信号设置为0,表示写入结束。最后,我们使用$finish函数结束测试。 可以使用任何Verilog仿真器运行这个测试程序,并检查是否从RAM中读取了正确的数据。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值