vhdl123

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY f_adder IS
	PORT (ain, bin, cin:IN STD_LOGIC;
			cout, sum:OUT STD_LOGIC);
END ENTITY f_adder;
ARCHITECTURE fd1 OF f_adder IS
COMPONENT h_adder
		PORT(a,b:IN STD_LOGIC;
			CO,SO:OUT STD_LOGIC);
		END COMPONENT;
		COMPONENT or2a
			PORT(a,b:IN STD_LOGIC;
			c: OUT STD_LOGIC);
			END COMPONENT;
SIGNAL d,e,f: STD_LOGIC;
	BEGIN 
	u1: h_adder PORT MAP(a=>ain, b=>bin, co=>d, so=>e);
	u2: h_adder PORT MAP(a=>e, b=>cin, co=>f, so=>sum);
	u3: or2a PORT MAP(a=>d, b=>f, c=>cout);
END ARCHITECTURE fd1;
	
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY h_adder IS
	PORT (a,b:IN STD_LOGIC;
		co,so:OUT STD_LOGIC);
END ENTITY h_adder;
ARCHITECTURE fh1 OF h_adder IS
BEGIN
	so<=NOT(a XOR (NOT b));
	co<=a AND B;
END ARCHITECTURE fh1;

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY or2a IS 
	PORT(a,b:IN STD_LOGIC;
		c:OUT STD_LOGIC);
END ENTITY or2a;
ARCHITECTURE one OF or2a IS
	BEGIN
		c<=a OR b;
END ARCHITECTURE one;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值