VHDL实现4线-16线译码器

源代码:

library ieee;
use ieee.std_logic_1164.all;

entity decoder4_16 is
	port(i: in std_logic_vector(3 downto 0);
		y: out std_logic_vector(15 downto 0));
end decoder4_16;

architecture func of decoder4_16 is
begin 
	process(i)
	begin
	y <= "0000000000000000";
	case i is
	when "0000" => y(0) <= '1';
	when "0001" => y(1) <= '1';
	when "0010" => y(2) <= '1';
	when "0011" => y(3) <= '1';
	when "0100" => y(4) <= '1';
	when "0101" => y(5) <= '1';
	when "0110" => y(6) <= '1';
	when "0111" => y(7) <= '1';
	when "1000" => y(8) <= '1';
	when "1001" => y(9) <= '1';
	when "1010" => y(10) <= '1';
	when "1011" => y(11) <= '1';
	when "1100" => y(12) <= '1';
	when "1101" => y(13) <= '1';
	when "1110" => y(14) <= '1';
	when "1111" => y(15) <= '1';
	when others => y <= "0000000000000000";
	end case;
	end process;
end architecture;

功能仿真:



  • 18
    点赞
  • 73
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值