EDA与VHDL作业(6)

题:说明信号和变量的功能特点,以及应用上的异同点。
信号的特性:
1、信号赋值语句可以出现进程中,也可以出现在结构体的并行语句中;
2、进程中可以同一个信号多次赋值,但是只有最后一次赋值才被执行,在进程外的并行语句中对同一信号不能有多次赋值;
3、信号赋值要经过一个延时,这一点与器件传输延时相对应。
变量的特性:
1、变量不能将信息带出他的使用范围,
2、变量的赋值是立即实现,不存在延时;
3、在进程中可以对一个变量进行多次赋值,但后赋的值将改变先赋的值。

进程中的变量和信号的异同分析:
1、从硬件电路的系统来看,变量和信号都相当于逻辑电路中的连线和连线上的信号值;

2、从行为仿真和VHDL语句的功能来看,信号和变量具有明显区别:
— 1. 信号可以设置传输延时,为变量不能;
— 2. 变量只能在定义它的进程中有效,而信号在进程内外都能传递信息;
— 3. 进程中的变量是语句执行完后立即赋值,而进程中的信号赋值要等到进程结束时才赋值。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值