EDA与VHDL作业(5)- 1

题目:设计一个异步清零、同步时钟使能和异步数据加载型8位二进制加法计数器。

LIBRARY IEEE
USE IEEE.STD_LOGIC_1164.ALL
USE IEEE.STD_LOGIC_UNSIGNED.ALL
ENTITY BIT8 IS 
    PORT (CLK,CLR,EN,LOAD : IN STD_LOGIC;
        DATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);--8位预置数
        DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);--计数值输出
        COUT : OUT STD_LOGIC);--进位输出
END BIT8;

ARCHITECTURE BEHAV OF BIT8 IS
BEGIN 
    PROCESS(CLK, CLR, EN, LOAD)--输入敏感表
        VARIABLE Q : STD_LOGIC_VECTOR(7 DOWNTO 0)--定义中间变量 8位
    BEGIN
        IF CLR = '1' THEN Q := (OTHERS => '0');--异步清零
        ELSIF LOAD = '1' THEN Q := DATA;--异步置数
        ELSIF CLK'EVENT AND CLK = '1' THEN--同步时钟使能
            IF EN = '1' THEN Q := Q + 1;--使能,时钟上升沿计数
            ELSE Q := Q;
            END IF;
        END IF;
        IF Q = B'1111_1111' THEN COUT <= '1';
        ELSE COUT <= '0';
        END IF;
        DOUR <= Q;
    END PROSECC;
END BEHAV;

  • 4
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值