Vivado 程序计数器(含测试代码)

本篇文章使用Verilog语言编写实现带有优先级的83译码器,含有设计代码和测试代码。

一、

程序计数器,带有同步复位功能,有复位信号rst时,输出指令存储器使能信号为ce(0),表示指令存储器禁用,此时PC的值保持为0。其余时刻指令存储器使能信号为ce(1),此时PC的值会在每时钟周期加4。
程序计数器pc
程序计数器pc的接口描述如下:

接口名宽度输入/输出
rst1输入
clk1输入
pc32输出
ce1输出
二、设计代码
`timescale 1ns / 1ps
module pc(
    input wire clk,
    input wire rst,
    output reg [31:0]pc,
    output reg ce
    );  
    always@(posedge clk)begin
        if(rst==1)begin
        ce<=0;
        end
        else begin
        ce<=1;
        end
    end
    always@(posedge clk)begin
        if(ce==0)begin//有效
        pc<=32'h0;
        end
        else begin
        pc<=pc+4;
        end
    end
endmodule
三、测试代码
`timescale 1ns / 1ps
module pc_s(
    );
    reg clk;
    reg rst;
    wire [31:0]pc;
    wire ce;
    pc pc0(.rst(rst),.clk(clk),.pc(pc),.ce(ce));
initial begin
    clk=1;
    forever begin
    #10
    clk=~clk;
    end
end
initial begin
    rst=0;
    #20
    rst=1;
    #100
    rst=0;
    #200
    $finish;
end
endmodule
四、仿真波形图

仿真波形图_pc
仅供学习交流,如发现错误,欢迎大家指正。

  • 4
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
好的,下面是使用 VHDL 语言编写的 Vivado 计数器的代码和 testbench 程序: 计数器代码: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity counter is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; enable : in STD_LOGIC; count : out STD_LOGIC_VECTOR(7 downto 0)); end counter; architecture Behavioral of counter is signal temp : unsigned(7 downto 0) := (others => '0'); begin process(clk, reset) begin if reset = '1' then temp <= (others => '0'); elsif rising_edge(clk) then if enable = '1' then temp <= temp + 1; end if; end if; end process; count <= std_logic_vector(temp); end Behavioral; ``` testbench 代码: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity counter_tb is end counter_tb; architecture Behavioral of counter_tb is constant clk_period : time := 10 ns; signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal enable : std_logic := '0'; signal count : std_logic_vector(7 downto 0); begin uut : entity work.counter port map(clk => clk, reset => reset, enable => enable, count => count); process begin reset <= '1'; enable <= '0'; wait for 100 ns; reset <= '0'; enable <= '1'; wait for 500 ns; enable <= '0'; wait for 100 ns; enable <= '1'; wait for 300 ns; enable <= '0'; wait for 100 ns; enable <= '1'; wait for 100 ns; enable <= '0'; wait for 100 ns; enable <= '1'; wait for 200 ns; enable <= '0'; wait for 100 ns; wait; end process; clk_process : process begin while true loop clk <= not clk; wait for clk_period / 2; end loop; end process; end Behavioral; ``` 以上是使用 VHDL 语言编写的 Vivado 计数器的代码和 testbench 程序,供参考。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小橘子xxb

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值