FPGA入门学习笔记(三)Vivado设计时序逻辑计数器

时序仿真结果

在这里插入图片描述

设计文件程序

`timescale 1ns/1ns

module led_flash(
    input Clk,
    input Reset_n,
    output reg Led
);
    reg [25:0]counter;
    // <= 为非阻塞赋值
//  always@(posedge Clk or negedge Reset_n)begin
//        if (!Reset_n)begin
//            counter <= 0;
//            Led <= 0;
//            end
//        else if(counter == 25000000)begin
//            Led <= !Led;
//            counter <= 0;
//            end
//        else
//            counter <= counter +1'd1;
//    end

    always@(posedge Clk or negedge Reset_n)begin
        if (!Reset_n)
            counter <= 0;
        else if(counter == 25000000 - 1)
            counter <= 0;
        else
            counter <= counter +1'd1;
    end
    always@(posedge Clk or negedge Reset_n)begin
        if (!Reset_n)
            Led <= 0;
        else if(counter == 25000000 - 1)
            Led <= !Led;
    end

endmodule

仿真文件程序

`timescale 1ns/1ns


module led_flash_tb();
    
    reg Clk;
    reg Reset_n;
    wire Led;

    led_flash led_flash_test(
        .Clk(Clk),
        .Reset_n(Reset_n),
        .Led(Led)
    );
    
    initial Clk = 1;
    always #10 Clk = !Clk;
    initial begin
        Reset_n = 0;
        #200;
        Reset_n = 1;
        #200000000;
    end
endmodule

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值